Mock Version: 5.6 Mock Version: 5.6 Mock Version: 5.6 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --noclean --target ppc64le --nodeps /builddir/build/SPECS/ghdl.spec'], chrootPath='/var/lib/mock/f41-build-51726896-6195094/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/fuse', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=TrueprintOutput=False) Using nspawn with args ['--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/fuse', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', '8763f321e8b44b0e91f6584919626f28', '-D', '/var/lib/mock/f41-build-51726896-6195094/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/fuse', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bs --noclean --target ppc64le --nodeps /builddir/build/SPECS/ghdl.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1707696000 Wrote: /builddir/build/SRPMS/ghdl-3.0.0-1.20230308git7de967c.fc41.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --noclean --target ppc64le --nodeps /builddir/build/SPECS/ghdl.spec'], chrootPath='/var/lib/mock/f41-build-51726896-6195094/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=['--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/fuse', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11']unshare_net=TrueprintOutput=False) Using nspawn with args ['--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/fuse', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11'] Executing command: ['/usr/bin/systemd-nspawn', '-q', '-M', '1875d04701b94a1385c6c0ce97861d0c', '-D', '/var/lib/mock/f41-build-51726896-6195094/root', '-a', '-u', 'mockbuild', '--capability=cap_ipc_lock', '--bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf', '--bind=/dev/btrfs-control', '--bind=/dev/mapper/control', '--bind=/dev/fuse', '--bind=/dev/loop-control', '--bind=/dev/loop0', '--bind=/dev/loop1', '--bind=/dev/loop2', '--bind=/dev/loop3', '--bind=/dev/loop4', '--bind=/dev/loop5', '--bind=/dev/loop6', '--bind=/dev/loop7', '--bind=/dev/loop8', '--bind=/dev/loop9', '--bind=/dev/loop10', '--bind=/dev/loop11', '--console=pipe', '--setenv=TERM=vt100', '--setenv=SHELL=/bin/bash', '--setenv=HOME=/builddir', '--setenv=HOSTNAME=mock', '--setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin', '--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"', '--setenv=PS1= \\s-\\v\\$ ', '--setenv=LANG=C.UTF-8', '--resolv-conf=off', 'bash', '--login', '-c', '/usr/bin/rpmbuild -bb --noclean --target ppc64le --nodeps /builddir/build/SPECS/ghdl.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8', 'SYSTEMD_NSPAWN_TMPFS_TMP': '0', 'SYSTEMD_SECCOMP': '0'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1707696000 Executing(%mkbuilddir): /bin/sh -e /var/tmp/rpm-tmp.hwJ9W0 + umask 022 + cd /builddir/build/BUILD/ghdl-3.0.0-build + test -d /builddir/build/BUILD/ghdl-3.0.0-build + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w /builddir/build/BUILD/ghdl-3.0.0-build + /usr/bin/rm -rf /builddir/build/BUILD/ghdl-3.0.0-build + /usr/bin/mkdir -p /builddir/build/BUILD/ghdl-3.0.0-build + /usr/bin/mkdir -p /builddir/build/BUILD/ghdl-3.0.0-build/SPECPARTS + RPM_EC=0 ++ jobs -p + exit 0 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.6fcYIK + umask 022 + cd /builddir/build/BUILD/ghdl-3.0.0-build + cd /builddir/build/BUILD/ghdl-3.0.0-build + rm -rf gcc-14.0.1-20240208 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/gcc-14.0.1-20240208.tar.xz + STATUS=0 + '[' 0 -ne 0 ']' + cd gcc-14.0.1-20240208 + /usr/lib/rpm/rpmuncompress -x /builddir/build/SOURCES/ghdl-7de967c.tar.gz + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . Patch #0 (gcc14-hack.patch): + echo 'Patch #0 (gcc14-hack.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .hack~ --fuzz=0 patching file libada/Makefile.in Hunk #1 succeeded at 73 (offset 2 lines). Hunk #2 succeeded at 118 (offset 3 lines). patching file config-ml.in Hunk #1 succeeded at 522 (offset 11 lines). patching file libcpp/macro.cc Hunk #1 succeeded at 3578 (offset 322 lines). Hunk #2 succeeded at 3705 (offset 325 lines). Hunk #3 succeeded at 3722 (offset 325 lines). patching file libcpp/expr.cc Hunk #1 succeeded at 834 (offset 31 lines). Patch #3 (gcc14-libgomp-omp_h-multilib.patch): + echo 'Patch #3 (gcc14-libgomp-omp_h-multilib.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .libgomp-omp_h-multilib~ --fuzz=0 patching file libgomp/omp.h.in Hunk #1 succeeded at 52 (offset 10 lines). Patch #4 (gcc14-libtool-no-rpath.patch): + echo 'Patch #4 (gcc14-libtool-no-rpath.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .libtool-no-rpath~ --fuzz=0 patching file ltmain.sh Hunk #1 succeeded at 7103 (offset 1709 lines). Hunk #2 succeeded at 7799 (offset 1727 lines). Hunk #3 succeeded at 7851 (offset 1729 lines). Patch #5 (gcc14-isl-dl.patch): + echo 'Patch #5 (gcc14-isl-dl.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .isl-dl~ --fuzz=0 patching file gcc/Makefile.in Hunk #1 succeeded at 1139 (offset 76 lines). Hunk #2 succeeded at 2510 (offset 208 lines). patching file gcc/graphite.h patching file gcc/graphite.cc Hunk #3 succeeded at 559 (offset 2 lines). patching file gcc/toplev.cc Hunk #1 succeeded at 95 (offset 1 line). Hunk #2 succeeded at 648 (offset -36 lines). Patch #6 (gcc14-isl-dl2.patch): + echo 'Patch #6 (gcc14-isl-dl2.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .isl-dl2~ --fuzz=0 patching file gcc/toplev.cc Hunk #1 succeeded at 107 (offset -6 lines). Hunk #2 succeeded at 2235 (offset -6 lines). patching file gcc/graphite.cc Hunk #1 succeeded at 65 (offset 1 line). Patch #8 (gcc14-no-add-needed.patch): + echo 'Patch #8 (gcc14-no-add-needed.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .no-add-needed~ --fuzz=0 patching file gcc/config/alpha/elf.h Hunk #1 succeeded at 142 (offset -26 lines). patching file gcc/config/ia64/linux.h patching file gcc/config/gnu-user.h Patch #9 (gcc14-Wno-format-security.patch): + echo 'Patch #9 (gcc14-Wno-format-security.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .Wno-format-security~ --fuzz=0 patching file gcc/configure.ac Hunk #1 succeeded at 593 (offset 23 lines). patching file gcc/configure Hunk #1 succeeded at 7160 (offset 83 lines). patching file Makefile.tpl Hunk #1 succeeded at 449 (offset 1 line). patching file Makefile.in Hunk #1 succeeded at 446 (offset 1 line). Patch #10 (gcc14-rh1574936.patch): + echo 'Patch #10 (gcc14-rh1574936.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .rh1574936~ --fuzz=0 patching file libgcc/config/t-linux + echo 'Red Hat GHDL 3.0.0-1.20230308git7de967c.fc41' + cp -a libstdc++-v3/config/cpu/i486/atomicity.h libstdc++-v3/config/cpu/i386/atomicity.h + ./contrib/gcc_update --touch Touching gcc/cstamp-h.in... Touching gcc/config.in... + LC_ALL=C + sed -i -e 's/\xa0/ /' gcc/doc/options.texi + sed -i -e 's/Common Driver Var(flag_report_bug)/& Init(1)/' gcc/common.opt + mv ghdl-7de967c51f352fe2d724dbec549b71a392e5ebae ghdl + pushd ghdl ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208/ghdl ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 Patch #102 (ghdl-gcc13.patch): + echo 'Patch #102 (ghdl-gcc13.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .gcc13~ --fuzz=0 patching file Makefile.in Hunk #1 succeeded at 292 (offset -7 lines). Hunk #2 succeeded at 309 (offset -7 lines). Patch #103 (ghdl-gcc14.patch): + echo 'Patch #103 (ghdl-gcc14.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .gcc14~ --fuzz=0 patching file Makefile.in Hunk #1 succeeded at 292 (offset -7 lines). Hunk #2 succeeded at 309 (offset -7 lines). Patch #104 (ghdl-llvm.patch): + echo 'Patch #104 (ghdl-llvm.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .llvm~ --fuzz=0 patching file configure Patch #106 (ghdl-llvm16.patch): + echo 'Patch #106 (ghdl-llvm16.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .llvm16~ --fuzz=0 patching file src/ortho/llvm6/llvm-cbindings.cpp Patch #107 (ghdl-llvm17.patch): + echo 'Patch #107 (ghdl-llvm17.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p1 -b --suffix .llvm17~ --fuzz=0 patching file src/ortho/llvm6/llvm-cbindings.cpp ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 + popd + pushd ghdl + sed -i.orig -e 's|\"include\"|\"include/ghdl\"|' src/ghdldrv/ghdlsynth.adb ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208/ghdl ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 + sed -i.orig -e 's|\"include\"|\"include/ghdl\"|' src/ghdldrv/ghdlvpi.adb ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 + popd + cp -r ghdl ghdl-llvm ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208/ghdl-llvm ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 + pushd ghdl-llvm + perl -i -pe 's,^libdirsuffix=.*$,libdirsuffix=lib/ghdl/llvm,' configure + perl -i -pe 's,^libdirreverse=.*$,libdirreverse=../../..,' configure + popd ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208/ghdl ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 + echo 'Red Hat 3.0.0-1' + pushd ghdl + ./configure --disable-werror --prefix=/usr --with-gcc=.. gcc (GCC) 14.1.1 20240620 (Red Hat 14.1.1-6) Copyright (C) 2024 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Use full IEEE library Build machine is: ppc64le-redhat-linux create pic/ subdirectory Creating Makefile Creating default_paths.ads Creating ghdl.gpr Creating scripts/gcc/Make-lang.in for d in ieee/v87 ieee/v93 ieee/v08 std/v87 std/v93 std/v08 src/ieee src/ieee/v87 src/ieee/v93 src/ieee2008 src/std src/std/v87 src/std/v93 src/std/v08 src/synopsys src/synopsys/v08 src/upf src/vital95 src/vital2000; do \ mkdir -p lib/ghdl/$d; \ done Generate ghdlsynth_maybe.ads Generate grt-readline.ads + make copy-sources VER_DESC=tarball; \ VER_REF=unknown; \ VER_HASH=unknown; \ if test -d ./.git && desc=`cd .; git describe --dirty --long`; then \ VER_DESC=`echo $desc | sed -e 's/\([^-]*-g\)/r\1/' -e 's/-/./g' -e 's/^v//g'`; \ VER_REF=`cd .; git rev-parse --abbrev-ref HEAD`; \ VER_HASH=`cd .; git rev-parse HEAD`; \ fi; \ sed \ -e "s#@VER@#3.0.0#" \ -e "s#@DESC@#${GHDL_VER_DESC:-$VER_DESC}#" \ -e "s#@REF@#${GHDL_VER_REF:-$VER_REF}#" \ -e "s#@HASH@#${GHDL_VER_HASH:-$VER_HASH}#" \ < src/version.in > version.tmp; if [ ! -r version.ads ] || ! cmp version.tmp version.ads > /dev/null; then cp version.tmp version.ads; fi rm -f -rf ../gcc/vhdl mkdir ../gcc/vhdl cp -p version.ads ghdlsynth_maybe.ads ../gcc/vhdl cp -p grt-readline.ads ../gcc/vhdl cp -p ./src/*.ad? ../gcc/vhdl cp -p ./src/vhdl/*.ad? ../gcc/vhdl cp -p ./src/vhdl/translate/*.ad? ../gcc/vhdl cp -p ./src/synth/*.ad? ../gcc/vhdl cp -p ./src/psl/*.ad? ../gcc/vhdl cp -p ./src/grt/grt.ad? ../gcc/vhdl cp -p ./src/grt/grt-types.ads ../gcc/vhdl cp -p ./src/grt/grt-vhdl_types.ads ../gcc/vhdl cp -p ./src/grt/grt-c.ad? ../gcc/vhdl cp -p ./src/grt/grt-fcvt.ad? ../gcc/vhdl cp -p ./src/grt/grt-algos.ad? ../gcc/vhdl cp -p ./src/grt/grt-arith.ad? ../gcc/vhdl cp -p ./src/grt/grt-vstrings.ad? ../gcc/vhdl cp -p ./src/grt/grt-cstdio.c ../gcc/vhdl cp -p ./src/grt/grt-stdio.ad? ../gcc/vhdl cp -p ./src/grt/grt-table.ad? ../gcc/vhdl cp -p ./src/grt/grt-files_operations.ad? ../gcc/vhdl cp -p ./src/grt/grt-files.ad? ../gcc/vhdl cp -p ./src/grt/grt-to_strings.ad? ../gcc/vhdl cp -p ./src/grt/grt-strings.ad? ../gcc/vhdl cp -p ./src/grt/grt-severity.ads ../gcc/vhdl cp -p ./src/grt/grt-readline_*.ad? ../gcc/vhdl cp -p ./src/grt/grt-dynload.ad? ../gcc/vhdl cp -p ./src/grt/grt-cdynload.c ../gcc/vhdl cp -p ./src/ortho/*.ad? ../gcc/vhdl cp -p ./src/ortho/gcc/*.ad? ../gcc/vhdl cp -p ./src/ortho/gcc/*.c ../gcc/vhdl cp -p ./src/ortho/gcc/*.opt ../gcc/vhdl base_ver=`cat ../gcc/BASE-VER`; \ case $base_ver in \ 4.9*) gcc_ortho_lang=ortho-lang-49.c ;; \ 5.*) gcc_ortho_lang=ortho-lang-5.c ;; \ 6 | 6.*) gcc_ortho_lang=ortho-lang-6.c ;; \ 7.*) gcc_ortho_lang=ortho-lang-7.c ;; \ 8.*) gcc_ortho_lang=ortho-lang-8.c ;; \ 9.* | 10.* | 11.* | 12.* | 13.* | 14.*) gcc_ortho_lang=ortho-lang-9.c ;; \ *) echo "Mismatch gcc version from .."; \ echo "Need gcc version 4.9.x, 5.x to 14.x"; \ exit 1 ;; \ esac; \ cp -p ./src/ortho/gcc/$gcc_ortho_lang \ ../gcc/vhdl/ortho-lang.c cp -p ./doc/ghdl.texi ./doc/ghdl.1 ../gcc/vhdl mkdir ../gcc/vhdl/ghdldrv cp -pR ./src/ghdldrv/*.ad? ../gcc/vhdl/ghdldrv cp -p scripts/gcc/Make-lang.in ../gcc/vhdl cp -p ./scripts/gcc/config-lang.in ../gcc/vhdl cp -p ./scripts/gcc/lang-options.h ../gcc/vhdl cp -p ./scripts/gcc/lang-specs.h ../gcc/vhdl base_ver=`cat ../gcc/BASE-VER`; \ case $base_ver in \ 12.* | 13.* | 14.*) for f in ../gcc/vhdl/*.c; do mv $f ${f}c; done; \ sed -e 's/ortho-lang.c/ortho-lang.cc/' \ < ./scripts/gcc/config-lang.in \ > ../gcc/vhdl/config-lang.in ;; \ esac ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 Patch #110 (ghdl-ppc64abort.patch): + popd + echo 'Patch #110 (ghdl-ppc64abort.patch):' + /usr/bin/patch --no-backup-if-mismatch -f -p0 -b --suffix .ppc64abort --fuzz=0 patching file gcc/config/rs6000/rs6000-logue.cc Hunk #1 succeeded at 5348 (offset -3 lines). Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.c9oVhc ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208/ghdl-llvm ~/build/BUILD/ghdl-3.0.0-build/gcc-14.0.1-20240208 gcc (GCC) 14.1.1 20240620 (Red Hat 14.1.1-6) Copyright (C) 2024 Free Software Foundation, Inc. This is free software; see the source for copying conditions. There is NO warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. Use full IEEE library Build machine is: ppc64le-redhat-linux + touch gcc/vhdl/lang.opt.urls + RPM_EC=0 ++ jobs -p + exit 0 + umask 022 + cd /builddir/build/BUILD/ghdl-3.0.0-build + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CFLAGS + CXXFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection ' + export CXXFLAGS + FFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FFLAGS + FCFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Wp,-U_FORTIFY_SOURCE,-D_FORTIFY_SOURCE=3 -Wp,-D_GLIBCXX_ASSERTIONS -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules ' + export FCFLAGS + VALAFLAGS=-g + export VALAFLAGS + RUSTFLAGS='-Copt-level=3 -Cdebuginfo=2 -Ccodegen-units=1 -Cstrip=none -Clink-arg=-specs=/usr/lib/rpm/redhat/redhat-package-notes --cap-lints=warn' + export RUSTFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,pack-relative-relocs -Wl,--build-id=sha1 -specs=/usr/lib/rpm/redhat/redhat-package-notes ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + cd gcc-14.0.1-20240208 + pushd ghdl-llvm + ./configure --prefix=/usr --disable-werror --with-llvm-config=/usr/bin/llvm-config Unhandled version llvm 18.1.6 RPM build errors: error: Bad exit status from /var/tmp/rpm-tmp.c9oVhc (%build) Bad exit status from /var/tmp/rpm-tmp.c9oVhc (%build) Child return code was: 1 EXCEPTION: [Error('Command failed: \n # /usr/bin/systemd-nspawn -q -M 1875d04701b94a1385c6c0ce97861d0c -D /var/lib/mock/f41-build-51726896-6195094/root -a -u mockbuild --capability=cap_ipc_lock --bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf --bind=/dev/btrfs-control --bind=/dev/mapper/control --bind=/dev/fuse --bind=/dev/loop-control --bind=/dev/loop0 --bind=/dev/loop1 --bind=/dev/loop2 --bind=/dev/loop3 --bind=/dev/loop4 --bind=/dev/loop5 --bind=/dev/loop6 --bind=/dev/loop7 --bind=/dev/loop8 --bind=/dev/loop9 --bind=/dev/loop10 --bind=/dev/loop11 --console=pipe --setenv=TERM=vt100 --setenv=SHELL=/bin/bash --setenv=HOME=/builddir --setenv=HOSTNAME=mock --setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin \'--setenv=PROMPT_COMMAND=printf "\\033]0;\\007"\' \'--setenv=PS1= \\s-\\v\\$ \' --setenv=LANG=C.UTF-8 --resolv-conf=off bash --login -c \'/usr/bin/rpmbuild -bb --noclean --target ppc64le --nodeps /builddir/build/SPECS/ghdl.spec\'\n', 1)] Traceback (most recent call last): File "/usr/lib/python3.12/site-packages/mockbuild/trace_decorator.py", line 93, in trace result = func(*args, **kw) ^^^^^^^^^^^^^^^^^ File "/usr/lib/python3.12/site-packages/mockbuild/util.py", line 612, in do_with_status raise exception.Error("Command failed: \n # %s\n%s" % (cmd_pretty(command, env), output), child.returncode) mockbuild.exception.Error: Command failed: # /usr/bin/systemd-nspawn -q -M 1875d04701b94a1385c6c0ce97861d0c -D /var/lib/mock/f41-build-51726896-6195094/root -a -u mockbuild --capability=cap_ipc_lock --bind=/tmp/mock-resolv.sc53qgxc:/etc/resolv.conf --bind=/dev/btrfs-control --bind=/dev/mapper/control --bind=/dev/fuse --bind=/dev/loop-control --bind=/dev/loop0 --bind=/dev/loop1 --bind=/dev/loop2 --bind=/dev/loop3 --bind=/dev/loop4 --bind=/dev/loop5 --bind=/dev/loop6 --bind=/dev/loop7 --bind=/dev/loop8 --bind=/dev/loop9 --bind=/dev/loop10 --bind=/dev/loop11 --console=pipe --setenv=TERM=vt100 --setenv=SHELL=/bin/bash --setenv=HOME=/builddir --setenv=HOSTNAME=mock --setenv=PATH=/usr/bin:/bin:/usr/sbin:/sbin '--setenv=PROMPT_COMMAND=printf "\033]0;\007"' '--setenv=PS1= \s-\v\$ ' --setenv=LANG=C.UTF-8 --resolv-conf=off bash --login -c '/usr/bin/rpmbuild -bb --noclean --target ppc64le --nodeps /builddir/build/SPECS/ghdl.spec'