Mock Version: 1.1.8 Mock Version: 1.1.8 ENTER do(['bash', '--login', '-c', 'rpmbuild -bs --target i686 --nodeps builddir/build/SPECS/simulavr.spec'], False, '/var/lib/mock/dist-f15-build-962391-144650/root/', None, 86400, True, 0, 422, 497, None, logger=) Executing command: ['bash', '--login', '-c', 'rpmbuild -bs --target i686 --nodeps builddir/build/SPECS/simulavr.spec'] warning: Could not canonicalize hostname: x86-13.phx2.fedoraproject.org Building target platforms: i686 Building for target i686 Wrote: /builddir/build/SRPMS/simulavr-0.1.2.6-8.fc15.src.rpm Child returncode was: 0 LEAVE do --> ENTER do(['bash', '--login', '-c', 'rpmbuild -bb --target i686 --nodeps builddir/build/SPECS/simulavr.spec'], False, '/var/lib/mock/dist-f15-build-962391-144650/root/', None, 86400, True, 0, 422, 497, None, logger=) Executing command: ['bash', '--login', '-c', 'rpmbuild -bb --target i686 --nodeps builddir/build/SPECS/simulavr.spec'] Building target platforms: i686 Building for target i686 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.iStSGD + umask 022 + cd /builddir/build/BUILD + LANG=C + export LANG + unset DISPLAY + cd /builddir/build/BUILD + rm -rf simulavr-0.1.2.6 + /usr/bin/gzip -dc /builddir/build/SOURCES/simulavr-0.1.2.6.tar.gz + /bin/tar -xf - + STATUS=0 + '[' 0 -ne 0 ']' + cd simulavr-0.1.2.6 + /bin/chmod -Rf a+rX,u+w,g-w,o-w . + echo 'Patch #100 (0001-Allow-overriding-ENABLE_WARNINGS.patch):' Patch #100 (0001-Allow-overriding-ENABLE_WARNINGS.patch): + /bin/cat /builddir/build/SOURCES/0001-Allow-overriding-ENABLE_WARNINGS.patch + /usr/bin/patch -s -p1 -b --suffix .override-enable-warnings --fuzz=0 + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.TZjmGZ + umask 022 + cd /builddir/build/BUILD + cd simulavr-0.1.2.6 + LANG=C + export LANG + unset DISPLAY + CFLAGS='-O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables' + export CFLAGS + CXXFLAGS='-O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables' + export CXXFLAGS + FFLAGS='-O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -I/usr/lib/gfortran/modules' + export FFLAGS + ./configure --build=i386-redhat-linux-gnu --host=i386-redhat-linux-gnu --program-prefix= --disable-dependency-tracking --prefix=/usr --exec-prefix=/usr --bindir=/usr/bin --sbindir=/usr/sbin --sysconfdir=/etc --datadir=/usr/share --includedir=/usr/include --libdir=/usr/lib --libexecdir=/usr/libexec --localstatedir=/var --sharedstatedir=/var/lib --mandir=/usr/share/man --infodir=/usr/share/info --enable-pdf checking build system type... i386-redhat-linux-gnu checking host system type... i386-redhat-linux-gnu checking target system type... i386-redhat-linux-gnu checking for a BSD-compatible install... /usr/bin/install -c checking whether build environment is sane... yes checking for gawk... gawk checking whether make sets $(MAKE)... yes checking for i386-redhat-linux-gnu-gcc... no checking for gcc... gcc checking for C compiler default output file name... a.out checking whether the C compiler works... yes checking whether we are cross compiling... no checking for suffix of executables... checking for suffix of object files... o checking whether we are using the GNU C compiler... yes checking whether gcc accepts -g... yes checking for gcc option to accept ANSI C... none needed checking for style of include used by make... GNU checking dependency style of gcc... none checking for flex... flex checking for yywrap in -lfl... no checking for yywrap in -ll... no checking lex output file root... lex.yy checking whether yytext is a pointer... no checking for bison... bison -y checking whether ln -s works... yes checking for i386-redhat-linux-gnu-ranlib... no checking for ranlib... ranlib checking for avr-as... avr-as checking for avr-ld... avr-ld checking for avr-objcopy... avr-objcopy checking for avr-objdump... avr-objdump checking for avr-nm... avr-nm checking for avr-gcc... avr-gcc checking for python >= 2.1.1... yes checking for GNU make... make checking for makeinfo... yes checking for texi2dvi... yes checking for texindex... yes checking for tex... yes checking for pdftex... yes checking for texi2html... yes checking for dvips... yes checking if user wants a curses display... yes checking for initscr in -lncurses... yes checking for resizeterm in -lncurses... yes checking how to run the C preprocessor... gcc -E checking for egrep... grep -E checking for ANSI C header files... yes checking for sys/wait.h that is POSIX.1 compatible... yes checking for sys/types.h... yes checking for sys/stat.h... yes checking for stdlib.h... yes checking for string.h... yes checking for memory.h... yes checking for strings.h... yes checking for inttypes.h... yes checking for stdint.h... yes checking for unistd.h... yes checking arpa/inet.h usability... yes checking arpa/inet.h presence... yes checking for arpa/inet.h... yes checking fcntl.h usability... yes checking fcntl.h presence... yes checking for fcntl.h... yes checking netinet/in.h usability... yes checking netinet/in.h presence... yes checking for netinet/in.h... yes checking for stdlib.h... (cached) yes checking for string.h... (cached) yes checking for strings.h... (cached) yes checking sys/ioctl.h usability... yes checking sys/ioctl.h presence... yes checking for sys/ioctl.h... yes checking sys/socket.h usability... yes checking sys/socket.h presence... yes checking for sys/socket.h... yes checking sys/time.h usability... yes checking sys/time.h presence... yes checking for sys/time.h... yes checking termios.h usability... yes checking termios.h presence... yes checking for termios.h... yes checking for unistd.h... (cached) yes checking for inttypes.h... (cached) yes checking for an ANSI C-conforming const... yes checking for inline... inline checking for pid_t... yes checking for size_t... yes checking whether time.h and sys/time.h may both be included... yes checking for working volatile... yes checking for socklen_t... yes checking for unistd.h... (cached) yes checking vfork.h usability... no checking vfork.h presence... no checking for vfork.h... no checking for fork... yes checking for vfork... yes checking for working fork... yes checking for working vfork... (cached) yes checking whether gcc needs -traditional... no checking for stdlib.h... (cached) yes checking for GNU libc compatible malloc... yes checking for stdlib.h... (cached) yes checking for GNU libc compatible realloc... yes checking sys/select.h usability... yes checking sys/select.h presence... yes checking for sys/select.h... yes checking for sys/socket.h... (cached) yes checking types of arguments for select... int,fd_set *,struct timeval * checking return type of signal handlers... void checking for vprintf... yes checking for _doprnt... no checking for atexit... yes checking for gettimeofday... yes checking for inet_ntoa... yes checking for memset... yes checking for putenv... yes checking for select... yes checking for socket... yes checking for strdup... yes checking for strerror... yes checking for strrchr... yes checking for strtol... yes checking if user wants to build the documentation in pdf format... yes checking for doxygen... yes checking if avr test programs will be built... yes configure: creating ./config.status config.status: creating Makefile config.status: creating doc/Makefile config.status: creating doc/doxygen.config config.status: creating regress/Makefile config.status: creating regress/regress.py config.status: creating regress/modules/Makefile config.status: creating regress/test_opcodes/Makefile config.status: creating src/Makefile config.status: creating src/getopt/Makefile config.status: creating src/disp-vcd/Makefile config.status: creating src/disp/Makefile config.status: creating test_asm/Makefile config.status: creating test_asm/test_8515/Makefile config.status: creating test_c/Makefile config.status: creating simulavr.spec config.status: creating simulavr.1 config.status: creating simulavr-disp.1 config.status: creating src/config.h config.status: executing depfiles commands + make 'ENABLE_WARNINGS=-Wall -Winline' Making all in src make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make all-recursive make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' Making all in getopt make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' gcc -DHAVE_CONFIG_H -I. -I. -I../../src -Wall -Werror -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c gnu_getopt.c gcc -DHAVE_CONFIG_H -I. -I. -I../../src -Wall -Werror -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c gnu_getopt1.c rm -f libgnugetopt.a ar cru libgnugetopt.a gnu_getopt.o gnu_getopt1.o ranlib libgnugetopt.a make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' Making all in disp make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' gcc -DHAVE_CONFIG_H -I. -I. -I../../src -Wall -Winline -I../../src -I../../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c disp.c gcc -Wall -Winline -I../../src -I../../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -o simulavr-disp disp.o -lncurses ../getopt/libgnugetopt.a make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' Making all in disp-vcd make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' gcc -DHAVE_CONFIG_H -I. -I. -I../../src -Wall -Winline -I../../src -I../../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c disp.c gcc -DHAVE_CONFIG_H -I. -I. -I../../src -Wall -Winline -I../../src -I../../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c vcd.c gcc -DHAVE_CONFIG_H -I. -I. -I../../src -Wall -Winline -I../../src -I../../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c config_parser.c gcc -DHAVE_CONFIG_H -I. -I. -I../../src -Wall -Winline -I../../src -I../../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c config_scanner.c config_scanner.c:1188:12: warning: 'input' defined but not used config_scanner.l: In function 'config_lex': config_scanner.l:62:1: warning: ignoring return value of 'fwrite', declared with attribute warn_unused_result gcc -Wall -Winline -I../../src -I../../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -o simulavr-vcd disp.o vcd.o config_parser.o config_scanner.o ../getopt/libgnugetopt.a make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c adc.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c avrclass.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c avrcore.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c avrerror.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c avrmalloc.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c callback.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c decoder.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c device.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c devsupp.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c display.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c eeprom.c In file included from /usr/include/string.h:642:0, from eeprom.c:30: In function 'strncat', inlined from 'eeprom_dump_core' at eeprom.c:419:17: /usr/include/bits/string3.h:152:3: warning: call to __builtin___strncat_chk might overflow destination buffer gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c flash.c In file included from /usr/include/string.h:642:0, from flash.c:41: In function 'strncat', inlined from 'flash_dump_core' at flash.c:255:17: /usr/include/bits/string3.h:152:3: warning: call to __builtin___strncat_chk might overflow destination buffer gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c gdbserver.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c intvects.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c main.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c memory.c In file included from /usr/include/string.h:642:0, from memory.c:38: In function 'strncat', inlined from 'mem_sram_display' at memory.c:427:17: /usr/include/bits/string3.h:152:3: warning: call to __builtin___strncat_chk might overflow destination buffer gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c op_names.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c ports.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c register.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c sig.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c spi.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c sram.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c stack.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c storage.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c timers.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c uart.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c usb.c gcc -DHAVE_CONFIG_H -I. -I. -I. -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -c utils.c gcc -Wall -Winline -I../src/getopt -O2 -g -pipe -Wall -Wp,-D_FORTIFY_SOURCE=2 -fexceptions -fstack-protector --param=ssp-buffer-size=4 -m32 -march=i686 -mtune=atom -fasynchronous-unwind-tables -o simulavr adc.o avrclass.o avrcore.o avrerror.o avrmalloc.o callback.o decoder.o device.o devsupp.o display.o eeprom.o flash.o gdbserver.o intvects.o main.o memory.o op_names.o ports.o register.o sig.o spi.o sram.o stack.o storage.o timers.o uart.o usb.o utils.o getopt/libgnugetopt.a make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' Making all in test_c make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' avr-gcc -I. -I. -I../src -I. -g -Wall -mmcu=atmega128 -c demo.c avr-gcc -g -Wall -mmcu=atmega128 -o demo demo.o avr-gcc -I. -I. -I../src -I. -g -Wall -mmcu=atmega128 -c demo_kr.c avr-gcc -g -Wall -mmcu=atmega128 -o demo_kr demo_kr.o avr-gcc -I. -I. -I../src -I. -g -Wall -mmcu=atmega128 -c deep_frame.c avr-gcc -g -Wall -mmcu=atmega128 -o deep_frame deep_frame.o avr-gcc -I. -I. -I../src -I. -g -Wall -mmcu=atmega128 -c timer.c avr-gcc -g -Wall -mmcu=atmega128 -o timer timer.o avr-objdump -h -S -D --stabs --disassemble-zeroes demo.elf > demo.lst avr-objcopy -O ihex demo.elf demo.hex avr-objcopy -O binary demo.elf demo.bin avr-objdump -h -S -D --stabs --disassemble-zeroes demo_kr.elf > demo_kr.lst avr-objcopy -O ihex demo_kr.elf demo_kr.hex avr-objcopy -O binary demo_kr.elf demo_kr.bin avr-objdump -h -S -D --stabs --disassemble-zeroes deep_frame.elf > deep_frame.lst avr-objcopy -O ihex deep_frame.elf deep_frame.hex avr-objcopy -O binary deep_frame.elf deep_frame.bin avr-objdump -h -S -D --stabs --disassemble-zeroes timer.elf > timer.lst avr-objcopy -O ihex timer.elf timer.hex avr-objcopy -O binary timer.elf timer.bin make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' Making all in test_asm make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' Making all in test_8515 make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' avr-as --gstabs -mmcu=at90s8515 -I. -o test_blink.elf test_blink.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_cntr.elf test_cntr.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_eeprom.elf test_eeprom.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_port.elf test_port.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_stack.elf test_stack.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_toie0.elf test_toie0.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_toie0_2.elf test_toie0_2.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_wdr.elf test_wdr.asm avr-as --gstabs -mmcu=at90s8515 -I. -o test_wdr2.elf test_wdr2.asm avr-objdump -h -S -D --stabs --disassemble-zeroes test_blink.elf > test_blink.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_cntr.elf > test_cntr.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_eeprom.elf > test_eeprom.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_port.elf > test_port.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_stack.elf > test_stack.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_toie0.elf > test_toie0.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_toie0_2.elf > test_toie0_2.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_wdr.elf > test_wdr.lst avr-objdump -h -S -D --stabs --disassemble-zeroes test_wdr2.elf > test_wdr2.lst avr-objcopy -O binary test_blink.elf test_blink.bin avr-objcopy -O binary test_cntr.elf test_cntr.bin avr-objcopy -O binary test_eeprom.elf test_eeprom.bin avr-objcopy -O binary test_port.elf test_port.bin avr-objcopy -O binary test_stack.elf test_stack.bin avr-objcopy -O binary test_toie0.elf test_toie0.bin avr-objcopy -O binary test_toie0_2.elf test_toie0_2.bin avr-objcopy -O binary test_wdr.elf test_wdr.bin avr-objcopy -O binary test_wdr2.elf test_wdr2.bin avr-objcopy -O ihex test_blink.elf test_blink.hex avr-objcopy -O ihex test_cntr.elf test_cntr.hex avr-objcopy -O ihex test_eeprom.elf test_eeprom.hex avr-objcopy -O ihex test_port.elf test_port.hex avr-objcopy -O ihex test_stack.elf test_stack.hex avr-objcopy -O ihex test_toie0.elf test_toie0.hex avr-objcopy -O ihex test_toie0_2.elf test_toie0_2.hex avr-objcopy -O ihex test_wdr.elf test_wdr.hex avr-objcopy -O ihex test_wdr2.elf test_wdr2.hex for prg in test_blink test_cntr test_eeprom test_port test_stack test_toie0 test_toie0_2 test_wdr test_wdr2; do \ avr-ld -m avr2 -o $prg ${prg}.elf || exit 1; \ done make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[2]: Nothing to be done for `all-am'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' Making all in doc make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' texi2html -split_node ./simulavr.texi ** Unknown command `@hfill' (left as is) ** Unknown command `@hfill' (left as is) if [ -e ./simulavr.html -o -e ./simulavr_1.html ]; then \ mkdir -p html ; \ mv *.html html ; \ else \ mv -f simulavr html ; \ fi if test -f html/simulavr.html ; then \ cp html/simulavr.html html/index.html ; \ else \ if test -f html/simulavr_toc.html ; then \ cp html/simulavr_toc.html html/index.html ; \ fi \ fi doxygen doxygen.config warning: Tag `DETAILS_AT_TOP' at line 154 of file doxygen.config has become obsolete. To avoid this warning please update your configuration file using "doxygen -u" Searching for include files... Searching for example files... Searching for images... Searching for dot files... Searching for msc files... Searching for files to exclude Searching for files to process... Searching for files in directory /builddir/build/BUILD/simulavr-0.1.2.6/src Searching for files in directory /builddir/build/BUILD/simulavr-0.1.2.6/doc Reading and parsing tag files Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/adc.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/adc.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/avrclass.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/avrclass.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/avrcore.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/avrcore.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/avrerror.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/avrerror.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/avrmalloc.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/avrmalloc.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/callback.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/callback.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/decoder.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/decoder.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/device.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/device.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/display.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/display.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/eeprom.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/eeprom.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/flash.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/flash.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/gdbserver.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/gdbserver.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/intvects.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/intvects.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/main.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/main.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/memory.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/memory.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/op_names.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/op_names.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/ports.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/ports.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/register.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/register.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/sig.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/sig.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/spi.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/spi.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/sram.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/sram.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/stack.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/stack.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/storage.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/storage.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/timers.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/timers.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/src/usb.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/usb.c... Prepro/builddir/build/BUILD/simulavr-0.1.2.6/src/adc.c:86: warning: Member adc_intr_new(int addr, char *name, int rel_addr) (function) of file adc.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/adc.c:305: warning: Member adc_new(int addr, char *name, uint8_t uier, int rel_addr) (function) of file adc.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/adc.c:452: warning: Member adc_port_rd(uint8_t mux) (function) of file adc.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/adc.c:476: warning: Member adc_port_wr(uint8_t val) (function) of file adc.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/avrcore.c:886: warning: Member BREAK_OPCODE (define) of file avrcore.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/avrcore.c:1226: warning: argument 'port_id' of command @param is not found in the argument list of avr_core_add_ext_rd_wr(AvrCore *core, int addr, PortFP_ExtRd ext_rd, PortFP_ExtWr ext_wr) /builddir/build/BUILD/simulavr-0.1.2.6/src/avrcore.c:1226: warning: The following parameters of avr_core_add_ext_rd_wr(AvrCore *core, int addr, PortFP_ExtRd ext_rd, PortFP_ExtWr ext_wr) are not documented: parameter 'addr' /builddir/build/BUILD/simulavr-0.1.2.6/src/decoder.c:3421: warning: Member avr_op_UNKNOWN(AvrCore *core, uint16_t opcode, unsigned int arg1, unsigned int arg2) (function) of file decoder.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/decoder.c:73: warning: Member global_opcode_lookup_table (variable) of file decoder.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:171: warning: Member dev_supp_has_ext_io_reg(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:177: warning: Member dev_supp_get_flash_sz(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:183: warning: Member dev_supp_get_PC_sz(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:189: warning: Member dev_supp_get_stack_sz(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:195: warning: Member dev_supp_get_vtab_idx(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:201: warning: Member dev_supp_get_sram_sz(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:207: warning: Member dev_supp_get_eeprom_sz(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:213: warning: Member dev_supp_get_xram_sz(DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/devsupp.c:302: warning: Member dev_supp_attach_io_regs(AvrCore *core, DevSuppDefn *dev) (function) of file devsupp.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/memory.c:208: warning: Member mem_set_addr_name(Memory *mem, int addr, char *name) (function) of file memory.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/spi.c:85: warning: Member spi_intr_new(int addr, char *name) (function) of file spi.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/spi.c:254: warning: Member spi_new(int addr, char *name, int rel_addr) (function) of file spi.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/spi.c:466: warning: Member spi_port_rd(int addr) (function) of file spi.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/spi.c:492: warning: Member spi_port_wr(uint8_t val) (function) of file spi.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/timers.c:150: warning: Member timer_intr_new(int addr, char *name, uint8_t func_mask) (function) of file timers.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/timers.c:357: warning: Member timer0_new(int addr, char *name, int rel_addr) (function) of file timers.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/timers.c:904: warning: argument 'ocrdef' of command @param is not found in the argument list of ocreg16_create(int addr, char *name, int rel_addr, void *data) /builddir/build/BUILD/simulavr-0.1.2.6/src/timers.c:904: warning: The following parameters of ocreg16_create(int addr, char *name, int rel_addr, void *data) are not documented: parameter 'addr' parameter 'name' parameter 'rel_addr' parameter 'data' /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c:106: warning: Member uart_intr_new(int addr, char *name, void *data) (function) of file uart.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c:342: warning: Member uart_new(int addr, char *name, int rel_addr) (function) of file uart.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c:554: warning: Member uart_port_rd(int addr) (function) of file uart.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c:580: warning: Member uart_port_wr(uint8_t val) (function) of file uart.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c:78: warning: Member UART_Int_Table[] (variable) of file uart.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c:84: warning: Member UART0_Int_Table[] (variable) of file uart.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/uart.c:90: warning: Member UART1_Int_Table[] (variable) of file uart.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/usb.c:56: warning: Member usb_port_wr(char *name, uint8_t val) (function) of file usb.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/usb.c:57: warning: Member usb_port_rd(char *name) (function) of file usb.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/usb.c:90: warning: Member usb_intr_new(int addr, char *name, uint8_t func_mask) (function) of file usb.c is not documented. /builddir/build/BUILD/simulavr-0.1.2.6/src/usb.c:308: warning: Member usb_new(int addr, char *name) (function) of file usb.c is not documented. cessing /builddir/build/BUILD/simulavr-0.1.2.6/src/utils.c... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/src/utils.c... Preprocessing /builddir/build/BUILD/simulavr-0.1.2.6/doc/main.dox... Parsing file /builddir/build/BUILD/simulavr-0.1.2.6/doc/main.dox... Building group list... Building directory list... Building namespace list... Building file list... Building class list... Associating documentation with classes... Computing nesting relations for classes... Building example list... Searching for enumerations... Searching for documented typedefs... Searching for members imported via using declarations... Searching for included using directives... Searching for documented variables... Building member list... Searching for friends... Searching for documented defines... Computing class inheritance relations... Computing class usage relations... Flushing cached template relations that have become invalid... Creating members for template instances... Computing class relations... Add enum values to enums... Searching for member function documentation... Building page list... Search for main page... Computing page relations... Determining the scope of groups... Sorting lists... Freeing entry tree Determining which enums are documented Computing member relations... Building full member lists recursively... Adding members to member groups. Computing member references... Inheriting documentation... Generating disk names... Adding source references... Adding xrefitems... Sorting member lists... Counting data structures... Resolving user defined references... Finding anchors and sections in the documentation... Combining using relations... Adding members to index pages... Generating style sheet... Generating index page... Generating page index... Generating example documentation... Generating file sources... Generating code for file adc.c... Generating code for file avrclass.c... Generating code for file avrcore.c... Generating code for file avrerror.c... Generating code for file avrmalloc.c... Generating code for file callback.c... Generating code for file decoder.c... Generating code for file device.c... Generating code for file devsupp.c... Generating code for file display.c... Generating code for file eeprom.c... Generating code for file flash.c... Generating code for file gdbserver.c... Generating code for file intvects.c... Generating code for file main.c... Parsing code for file main.dox... Generating code for file memory.c... Generating code for file op_names.c... Generating code for file ports.c... Generating code for file register.c... Generating code for file sig.c... Generating code for file spi.c... Generating code for file sram.c... Generating code for file stack.c... Generating code for file storage.c... Generating code for file timers.c... Generating code for file uart.c... Generating code for file usb.c... Generating code for file utils.c... Generating file documentation... Generating docs for file adc.c... Generating docs for file avrclass.c... Generating docs for file avrcore.c... Generating docs for file avrerror.c... Generating docs for file avrmalloc.c... Generating docs for file decoder.c... Generating docs for file device.c... Generating docs for file devsupp.c... Generating docs for file display.c... Generating docs for file flash.c... Generating docs for file gdbserver.c... Generating docs for file memory.c... Generating docs for file ports.c... Generating docs for file sig.c... Generating docs for file spi.c... Generating docs for file stack.c... Generating docs for file timers.c... Generating docs for file uart.c... Generating docs for file usb.c... Generating docs for file utils.c... Generating page documentation... Generating docs for page memory_management... Generating docs for page object_system... Generating docs for page insn_decoder... Generating docs for page interrupts... Generating docs for page virtual_devs... Generating docs for page ext_devs... Generating docs for page break_watch_pts... Generating docs for page todo... Generating docs for page deprecated... Generating group documentation... Generating group index... Generating class documentation... Generating annotated compound index... Generating alphabetical compound index... Generating hierarchical class index... Generating member index... Generating namespace index... Generating namespace member index... Generating graph info page... Generating file index... Generating example index... Generating file member index... finalizing index lists... finished... texi2pdf simulavr.texi This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) file:line:error style messages enabled. %&-line parsing enabled. entering extended mode (./simulavr.texi (./texinfo.tex Loading texinfo [version 2005-01-30.17]: Basics, pdf, (/usr/share/texmf/tex/plain/misc/pdfcolor.tex) fonts, page headings, tables, conditionals, indexing, sectioning, toc, environments, defuns, macros, cross references, insertions, (/usr/share/texmf/tex/generic/epsf/epsf.tex This is `epsf.tex' v2.7.3 <23 July 2005> ) localization, and turning on texinfo input format.) (./version.texi) [1{/usr/ share/texmf/fonts/map/pdftex/updmap/pdftex.map}] [2] [-1] Chapter 1 Overfull \hbox (5.41612pt too wide) in paragraph at lines 123--125 []@textrm The of-fi-cial web-site for Sim-ulavr is [][]@texttt http://savannah. nongnu.org/projects/simulavr/[][][]@textrm . | Chapter 2 [1] [2] Chapter 3 [3] [4] [5] [6] Chapter 4 [7] Chapter 5 [8] Chapter 6 [9] (Concept Index) [10] [11] ) (see the transcript file for additional information) Output written on simulavr.pdf (14 pages, 89623 bytes). Transcript written on simulavr.log. This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) file:line:error style messages enabled. %&-line parsing enabled. entering extended mode (./simulavr.texi (./texinfo.tex Loading texinfo [version 2005-01-30.17]: Basics, pdf, (/usr/share/texmf/tex/plain/misc/pdfcolor.tex) fonts, page headings, tables, conditionals, indexing, sectioning, toc, environments, defuns, macros, cross references, insertions, (/usr/share/texmf/tex/generic/epsf/epsf.tex This is `epsf.tex' v2.7.3 <23 July 2005> ) localization, and turning on texinfo input format.) (./simulavr.aux) (./version.texi) [1{/usr/share/texmf/fonts/map/pdftex/updmap/pdftex.map}] [2] (./simulavr.toc) [-1] (./simulavr.toc) (./simulavr.toc) Chapter 1 Overfull \hbox (5.41612pt too wide) in paragraph at lines 123--125 []@textrm The of-fi-cial web-site for Sim-ulavr is [][]@texttt http://savannah. nongnu.org/projects/simulavr/[][][]@textrm . | Chapter 2 [1] [2] Chapter 3 [3] [4] [5] [6] Chapter 4 [7] Chapter 5 [8] Chapter 6 [9] (Concept Index) [10] (./simulavr.cps) [11] ) (see the transcript file for additional information) Output written on simulavr.pdf (14 pages, 120095 bytes). Transcript written on simulavr.log. This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) file:line:error style messages enabled. %&-line parsing enabled. entering extended mode (./simulavr.texi (./texinfo.tex Loading texinfo [version 2005-01-30.17]: Basics, pdf, (/usr/share/texmf/tex/plain/misc/pdfcolor.tex) fonts, page headings, tables, conditionals, indexing, sectioning, toc, environments, defuns, macros, cross references, insertions, (/usr/share/texmf/tex/generic/epsf/epsf.tex This is `epsf.tex' v2.7.3 <23 July 2005> ) localization, and turning on texinfo input format.) (./simulavr.aux) (./version.texi) [1{/usr/share/texmf/fonts/map/pdftex/updmap/pdftex.map}] [2] (./simulavr.toc) [-1] (./simulavr.toc) (./simulavr.toc) Chapter 1 Overfull \hbox (5.41612pt too wide) in paragraph at lines 123--125 []@textrm The of-fi-cial web-site for Sim-ulavr is [][]@texttt http://savannah. nongnu.org/projects/simulavr/[][][]@textrm . | Chapter 2 [1] [2] Chapter 3 [3] [4] [5] [6] Chapter 4 [7] Chapter 5 [8] Chapter 6 [9] (Concept Index) [10] (./simulavr.cps) [11] ) (see the transcript file for additional information) Output written on simulavr.pdf (14 pages, 120095 bytes). Transcript written on simulavr.log. make -C internals refman.pdf make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' pdflatex refman.tex This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) %&-line parsing enabled. entering extended mode (./refman.tex LaTeX2e <2005/12/01> Babel and hyphenation patterns for english, usenglishmax, dumylang, noh yphenation, arabic, basque, bulgarian, coptic, welsh, czech, slovak, german, ng erman, danish, esperanto, spanish, catalan, galician, estonian, farsi, finnish, french, greek, monogreek, ancientgreek, croatian, hungarian, interlingua, ibyc us, indonesian, icelandic, italian, latin, mongolian, dutch, norsk, polish, por tuguese, pinyin, romanian, russian, slovenian, uppersorbian, serbian, swedish, turkish, ukenglish, ukrainian, loaded. makeindex refman.idx This is makeindex, version 2.14 [02-Oct-2002] (kpathsea + Thai support). Scanning input file refman.idx....done (429 entries accepted, 0 rejected). Sorting entries......done (3912 comparisons). Generating output file refman.ind....done (674 lines written, 0 warnings). Output written in refman.ind. Transcript written in refman.ilg. pdflatex refman.tex This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) %&-line parsing enabled. entering extended mode (./refman.tex LaTeX2e <2005/12/01> Babel and hyphenation patterns for english, usenglishmax, dumylang, noh yphenation, arabic, basque, bulgarian, coptic, welsh, czech, slovak, german, ng erman, danish, esperanto, spanish, catalan, galician, estonian, farsi, finnish, french, greek, monogreek, ancientgreek, croatian, hungarian, interlingua, ibyc us, indonesian, icelandic, italian, latin, mongolian, dutch, norsk, polish, por tuguese, pinyin, romanian, russian, slovenian, uppersorbian, serbian, swedish, turkish, ukenglish, ukrainian, loaded. latex_count=5 ; \ while egrep -s 'Rerun (LaTeX|to get cross-references right)' refman.log && [ $latex_count -gt 0 ] ;\ do \ echo "Rerunning latex...." ;\ pdflatex refman.tex ;\ latex_count=`expr $latex_count - 1` ;\ done LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. Rerunning latex.... This is pdfTeXk, Version 3.141592-1.40.3 (Web2C 7.5.6) %&-line parsing enabled. entering extended mode (./refman.tex LaTeX2e <2005/12/01> Babel and hyphenation patterns for english, usenglishmax, dumylang, noh yphenation, arabic, basque, bulgarian, coptic, welsh, czech, slovak, german, ng erman, danish, esperanto, spanish, catalan, galician, estonian, farsi, finnish, french, greek, monogreek, ancientgreek, croatian, hungarian, interlingua, ibyc us, indonesian, icelandic, italian, latin, mongolian, dutch, norsk, polish, por tuguese, pinyin, romanian, russian, slovenian, uppersorbian, serbian, swedish, turkish, ukenglish, ukrainian, loaded. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' cp internals/refman.pdf simulavr-internals.pdf make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' Making all in regress make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' Making all in modules make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' make[2]: Nothing to be done for `all'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' Making all in test_opcodes make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[2]: Nothing to be done for `all'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[2]: Nothing to be done for `all-am'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6' make[1]: Nothing to be done for `all-am'. make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6' + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.mhQpWU + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 ++ dirname /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 + cd simulavr-0.1.2.6 + LANG=C + export LANG + unset DISPLAY + rm -rf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 + make install DESTDIR=/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 Making install in src make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' Making install in getopt make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' make[3]: Nothing to be done for `install-exec-am'. make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' Making install in disp make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' test -z "/usr/bin" || mkdir -p -- "/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin" /usr/bin/install -c 'simulavr-disp' '/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin/simulavr-disp' make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' Making install in disp-vcd make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' test -z "/usr/bin" || mkdir -p -- "/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin" /usr/bin/install -c 'simulavr-vcd' '/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin/simulavr-vcd' make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' test -z "/usr/bin" || mkdir -p -- "/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin" /usr/bin/install -c 'simulavr' '/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin/simulavr' make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' Making install in test_c make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' make[2]: Nothing to be done for `install-exec-am'. make[2]: Nothing to be done for `install-data-am'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' Making install in test_asm make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' Making install in test_8515 make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' for prg in test_blink test_cntr test_eeprom test_port test_stack test_toie0 test_toie0_2 test_wdr test_wdr2; do \ avr-ld -m avr2 -o $prg ${prg}.elf || exit 1; \ done make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' make[3]: Nothing to be done for `install-exec-am'. make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[3]: Nothing to be done for `install-exec-am'. make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' Making install in doc make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' make -C internals refman.pdf make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' make[2]: `refman.pdf' is up to date. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' cp internals/refman.pdf simulavr-internals.pdf make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' make[2]: Nothing to be done for `install-exec-am'. /builddir/build/BUILD/simulavr-0.1.2.6/install-sh -d /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html /usr/bin/install -c -m 644 internals_html/utils_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/utils_8c_source.html /usr/bin/install -c -m 644 internals_html/spi_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/spi_8c.html /usr/bin/install -c -m 644 internals_html/spi_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/spi_8c_source.html /usr/bin/install -c -m 644 internals_html/timers_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/timers_8c.html /usr/bin/install -c -m 644 internals_html/timers_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/timers_8c_source.html /usr/bin/install -c -m 644 internals_html/globals_enum.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/globals_enum.html /usr/bin/install -c -m 644 internals_html/device_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/device_8c_source.html /usr/bin/install -c -m 644 internals_html/stack_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/stack_8c_source.html /usr/bin/install -c -m 644 internals_html/insn_decoder.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/insn_decoder.html /usr/bin/install -c -m 644 internals_html/memory_management.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/memory_management.html /usr/bin/install -c -m 644 internals_html/devsupp_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/devsupp_8c.html /usr/bin/install -c -m 644 internals_html/intvects_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/intvects_8c_source.html /usr/bin/install -c -m 644 internals_html/display_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/display_8c_source.html /usr/bin/install -c -m 644 internals_html/todo.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/todo.html /usr/bin/install -c -m 644 internals_html/op__names_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/op__names_8c_source.html /usr/bin/install -c -m 644 internals_html/globals_eval.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/globals_eval.html /usr/bin/install -c -m 644 internals_html/gdbserver_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/gdbserver_8c_source.html /usr/bin/install -c -m 644 internals_html/uart_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/uart_8c_source.html /usr/bin/install -c -m 644 internals_html/adc_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/adc_8c.html /usr/bin/install -c -m 644 internals_html/pages.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/pages.html /usr/bin/install -c -m 644 internals_html/avrclass_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrclass_8c_source.html /usr/bin/install -c -m 644 internals_html/avrcore_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrcore_8c.html /usr/bin/install -c -m 644 internals_html/avrmalloc_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrmalloc_8c_source.html /usr/bin/install -c -m 644 internals_html/stack_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/stack_8c.html /usr/bin/install -c -m 644 internals_html/globals.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/globals.html /usr/bin/install -c -m 644 internals_html/sig_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/sig_8c_source.html /usr/bin/install -c -m 644 internals_html/ports_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/ports_8c_source.html /usr/bin/install -c -m 644 internals_html/files.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/files.html /usr/bin/install -c -m 644 internals_html/decoder_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/decoder_8c.html /usr/bin/install -c -m 644 internals_html/display_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/display_8c.html /usr/bin/install -c -m 644 internals_html/utils_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/utils_8c.html /usr/bin/install -c -m 644 internals_html/globals_func.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/globals_func.html /usr/bin/install -c -m 644 internals_html/index.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/index.html /usr/bin/install -c -m 644 internals_html/avrerror_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrerror_8c_source.html /usr/bin/install -c -m 644 internals_html/ports_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/ports_8c.html /usr/bin/install -c -m 644 internals_html/object_system.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/object_system.html /usr/bin/install -c -m 644 internals_html/virtual_devs.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/virtual_devs.html /usr/bin/install -c -m 644 internals_html/sram_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/sram_8c_source.html /usr/bin/install -c -m 644 internals_html/globals_defs.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/globals_defs.html /usr/bin/install -c -m 644 internals_html/ext_devs.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/ext_devs.html /usr/bin/install -c -m 644 internals_html/flash_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/flash_8c_source.html /usr/bin/install -c -m 644 internals_html/gdbserver_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/gdbserver_8c.html /usr/bin/install -c -m 644 internals_html/memory_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/memory_8c_source.html /usr/bin/install -c -m 644 internals_html/eeprom_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/eeprom_8c_source.html /usr/bin/install -c -m 644 internals_html/main_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/main_8c_source.html /usr/bin/install -c -m 644 internals_html/usb_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/usb_8c.html /usr/bin/install -c -m 644 internals_html/deprecated.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/deprecated.html /usr/bin/install -c -m 644 internals_html/avrerror_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrerror_8c.html /usr/bin/install -c -m 644 internals_html/break_watch_pts.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/break_watch_pts.html /usr/bin/install -c -m 644 internals_html/callback_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/callback_8c_source.html /usr/bin/install -c -m 644 internals_html/devsupp_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/devsupp_8c_source.html /usr/bin/install -c -m 644 internals_html/avrclass_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrclass_8c.html /usr/bin/install -c -m 644 internals_html/avrmalloc_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrmalloc_8c.html /usr/bin/install -c -m 644 internals_html/usb_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/usb_8c_source.html /usr/bin/install -c -m 644 internals_html/device_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/device_8c.html /usr/bin/install -c -m 644 internals_html/register_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/register_8c_source.html /usr/bin/install -c -m 644 internals_html/uart_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/uart_8c.html /usr/bin/install -c -m 644 internals_html/adc_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/adc_8c_source.html /usr/bin/install -c -m 644 internals_html/globals_vars.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/globals_vars.html /usr/bin/install -c -m 644 internals_html/storage_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/storage_8c_source.html /usr/bin/install -c -m 644 internals_html/sig_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/sig_8c.html /usr/bin/install -c -m 644 internals_html/flash_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/flash_8c.html /usr/bin/install -c -m 644 internals_html/memory_8c.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/memory_8c.html /usr/bin/install -c -m 644 internals_html/decoder_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/decoder_8c_source.html /usr/bin/install -c -m 644 internals_html/avrcore_8c_source.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/avrcore_8c_source.html /usr/bin/install -c -m 644 internals_html/interrupts.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/interrupts.html /usr/bin/install -c -m 644 internals_html/navtree.css /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/navtree.css /usr/bin/install -c -m 644 internals_html/dox.css /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/dox.css /usr/bin/install -c -m 644 internals_html/tabs.css /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/internals_html/tabs.css /builddir/build/BUILD/simulavr-0.1.2.6/install-sh -d /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html /usr/bin/install -c -m 644 html/simulavr.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr.html /usr/bin/install -c -m 644 html/simulavr_2.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_2.html /usr/bin/install -c -m 644 html/simulavr_3.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_3.html /usr/bin/install -c -m 644 html/simulavr_4.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_4.html /usr/bin/install -c -m 644 html/simulavr_10.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_10.html /usr/bin/install -c -m 644 html/simulavr_toc.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_toc.html /usr/bin/install -c -m 644 html/simulavr_abt.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_abt.html /usr/bin/install -c -m 644 html/simulavr_5.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_5.html /usr/bin/install -c -m 644 html/index.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/index.html /usr/bin/install -c -m 644 html/simulavr_9.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_9.html /usr/bin/install -c -m 644 html/simulavr_6.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_6.html /usr/bin/install -c -m 644 html/simulavr_1.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_1.html /usr/bin/install -c -m 644 html/simulavr_8.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_8.html /usr/bin/install -c -m 644 html/simulavr_11.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_11.html /usr/bin/install -c -m 644 html/simulavr_7.html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/html/simulavr_7.html make -C internals refman.pdf make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' make[3]: `refman.pdf' is up to date. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' cp internals/refman.pdf simulavr-internals.pdf /builddir/build/BUILD/simulavr-0.1.2.6/install-sh -d /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 /usr/bin/install -c -m 644 internals/refman.pdf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/simulavr-internals.pdf /builddir/build/BUILD/simulavr-0.1.2.6/install-sh -d /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 /usr/bin/install -c -m 644 simulavr.pdf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/simulavr.pdf test -z "/usr/share/info" || mkdir -p -- "/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/info" /usr/bin/install -c -m 644 './simulavr.info' '/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/info/simulavr.info' install-info --info-dir='/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/info' '/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/info/simulavr.info' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' Making install in regress make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' Making install in modules make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' make[3]: Nothing to be done for `install-exec-am'. make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' Making install in test_opcodes make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[3]: Nothing to be done for `install-exec-am'. make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[3]: Nothing to be done for `install-exec-am'. make[3]: Nothing to be done for `install-data-am'. make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6' make[2]: Nothing to be done for `install-exec-am'. /builddir/build/BUILD/simulavr-0.1.2.6/install-sh -d /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 for file in AUTHORS ChangeLog COPYING INSTALL ProjSummary README README.gdb README.opcodes TODO ChangeLog-2003 ChangeLog-2002 ChangeLog-2001 ; do \ echo " /usr/bin/install -c -m 644 $file /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/$file"; \ /usr/bin/install -c -m 644 ./$file /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/$file; \ done /usr/bin/install -c -m 644 AUTHORS /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/AUTHORS /usr/bin/install -c -m 644 ChangeLog /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/ChangeLog /usr/bin/install -c -m 644 COPYING /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/COPYING /usr/bin/install -c -m 644 INSTALL /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/INSTALL /usr/bin/install -c -m 644 ProjSummary /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/ProjSummary /usr/bin/install -c -m 644 README /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/README /usr/bin/install -c -m 644 README.gdb /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/README.gdb /usr/bin/install -c -m 644 README.opcodes /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/README.opcodes /usr/bin/install -c -m 644 TODO /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/TODO /usr/bin/install -c -m 644 ChangeLog-2003 /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/ChangeLog-2003 /usr/bin/install -c -m 644 ChangeLog-2002 /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/ChangeLog-2002 /usr/bin/install -c -m 644 ChangeLog-2001 /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6/ChangeLog-2001 test -z "/usr/share/man/man1" || mkdir -p -- "/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/man/man1" /usr/bin/install -c -m 644 './simulavr.1' '/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/man/man1/simulavr.1' /usr/bin/install -c -m 644 './simulavr-disp.1' '/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/man/man1/simulavr-disp.1' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6' + rm -f /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/info/dir + mv /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 docdir + /usr/lib/rpm/find-debuginfo.sh --strict-build-id /builddir/build/BUILD/simulavr-0.1.2.6 extracting debug info from /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin/simulavr extracting debug info from /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin/simulavr-disp extracting debug info from /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/bin/simulavr-vcd 1735 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-compress + /usr/lib/rpm/redhat/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/brp-python-bytecompile /usr/bin/python 1 + /usr/lib/rpm/redhat/brp-python-hardlink + /usr/lib/rpm/redhat/brp-java-repack-jars Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.LOvNiS + umask 022 + cd /builddir/build/BUILD + cd simulavr-0.1.2.6 + unset DISPLAY + make DESTDIR=/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 check Making check in src make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' Making check in getopt make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' make[2]: Nothing to be done for `check'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/getopt' Making check in disp make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' make[2]: Nothing to be done for `check'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp' Making check in disp-vcd make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' make[2]: Nothing to be done for `check'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src/disp-vcd' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/src' Making check in test_c make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' make[1]: Nothing to be done for `check'. make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_c' Making check in test_asm make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' Making check in test_8515 make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' for prg in test_blink test_cntr test_eeprom test_port test_stack test_toie0 test_toie0_2 test_wdr test_wdr2; do \ avr-ld -m avr2 -o $prg ${prg}.elf || exit 1; \ done make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm/test_8515' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[2]: Nothing to be done for `check-am'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/test_asm' Making check in doc make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' make -C internals refman.pdf make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' make[2]: `refman.pdf' is up to date. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc/internals' cp internals/refman.pdf simulavr-internals.pdf make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/doc' Making check in regress make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' Making check in modules make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' make[2]: Nothing to be done for `check'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/modules' Making check in test_opcodes make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[2]: Nothing to be done for `check'. make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress/test_opcodes' make[2]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make check-local make[3]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' python regress.py 2> regress.err | tee regress.out ======== running tests in test_opcodes directory ---- loading tests from test_ANDI module test_ANDI_r16_v00_k00 -> passed test_ANDI_r16_v01_k02 -> passed test_ANDI_r16_v0f_k00 -> passed test_ANDI_r16_v0f_kf0 -> passed test_ANDI_r16_v80_k80 -> passed test_ANDI_r16_vfe_k01 -> passed test_ANDI_r16_vff_k00 -> passed test_ANDI_r17_v00_k00 -> passed test_ANDI_r17_v01_k02 -> passed test_ANDI_r17_v0f_k00 -> passed test_ANDI_r17_v0f_kf0 -> passed test_ANDI_r17_v80_k80 -> passed test_ANDI_r17_vfe_k01 -> passed test_ANDI_r17_vff_k00 -> passed test_ANDI_r18_v00_k00 -> passed test_ANDI_r18_v01_k02 -> passed test_ANDI_r18_v0f_k00 -> passed test_ANDI_r18_v0f_kf0 -> passed test_ANDI_r18_v80_k80 -> passed test_ANDI_r18_vfe_k01 -> passed test_ANDI_r18_vff_k00 -> passed test_ANDI_r19_v00_k00 -> passed test_ANDI_r19_v01_k02 -> passed test_ANDI_r19_v0f_k00 -> passed test_ANDI_r19_v0f_kf0 -> passed test_ANDI_r19_v80_k80 -> passed test_ANDI_r19_vfe_k01 -> passed test_ANDI_r19_vff_k00 -> passed test_ANDI_r20_v00_k00 -> passed test_ANDI_r20_v01_k02 -> passed test_ANDI_r20_v0f_k00 -> passed test_ANDI_r20_v0f_kf0 -> passed test_ANDI_r20_v80_k80 -> passed test_ANDI_r20_vfe_k01 -> passed test_ANDI_r20_vff_k00 -> passed test_ANDI_r21_v00_k00 -> passed test_ANDI_r21_v01_k02 -> passed test_ANDI_r21_v0f_k00 -> passed test_ANDI_r21_v0f_kf0 -> passed test_ANDI_r21_v80_k80 -> passed test_ANDI_r21_vfe_k01 -> passed test_ANDI_r21_vff_k00 -> passed test_ANDI_r22_v00_k00 -> passed test_ANDI_r22_v01_k02 -> passed test_ANDI_r22_v0f_k00 -> passed test_ANDI_r22_v0f_kf0 -> passed test_ANDI_r22_v80_k80 -> passed test_ANDI_r22_vfe_k01 -> passed test_ANDI_r22_vff_k00 -> passed test_ANDI_r23_v00_k00 -> passed test_ANDI_r23_v01_k02 -> passed test_ANDI_r23_v0f_k00 -> passed test_ANDI_r23_v0f_kf0 -> passed test_ANDI_r23_v80_k80 -> passed test_ANDI_r23_vfe_k01 -> passed test_ANDI_r23_vff_k00 -> passed test_ANDI_r24_v00_k00 -> passed test_ANDI_r24_v01_k02 -> passed test_ANDI_r24_v0f_k00 -> passed test_ANDI_r24_v0f_kf0 -> passed test_ANDI_r24_v80_k80 -> passed test_ANDI_r24_vfe_k01 -> passed test_ANDI_r24_vff_k00 -> passed test_ANDI_r25_v00_k00 -> passed test_ANDI_r25_v01_k02 -> passed test_ANDI_r25_v0f_k00 -> passed test_ANDI_r25_v0f_kf0 -> passed test_ANDI_r25_v80_k80 -> passed test_ANDI_r25_vfe_k01 -> passed test_ANDI_r25_vff_k00 -> passed test_ANDI_r26_v00_k00 -> passed test_ANDI_r26_v01_k02 -> passed test_ANDI_r26_v0f_k00 -> passed test_ANDI_r26_v0f_kf0 -> passed test_ANDI_r26_v80_k80 -> passed test_ANDI_r26_vfe_k01 -> passed test_ANDI_r26_vff_k00 -> passed test_ANDI_r27_v00_k00 -> passed test_ANDI_r27_v01_k02 -> passed test_ANDI_r27_v0f_k00 -> passed test_ANDI_r27_v0f_kf0 -> passed test_ANDI_r27_v80_k80 -> passed test_ANDI_r27_vfe_k01 -> passed test_ANDI_r27_vff_k00 -> passed test_ANDI_r28_v00_k00 -> passed test_ANDI_r28_v01_k02 -> passed test_ANDI_r28_v0f_k00 -> passed test_ANDI_r28_v0f_kf0 -> passed test_ANDI_r28_v80_k80 -> passed test_ANDI_r28_vfe_k01 -> passed test_ANDI_r28_vff_k00 -> passed test_ANDI_r29_v00_k00 -> passed test_ANDI_r29_v01_k02 -> passed test_ANDI_r29_v0f_k00 -> passed test_ANDI_r29_v0f_kf0 -> passed test_ANDI_r29_v80_k80 -> passed test_ANDI_r29_vfe_k01 -> passed test_ANDI_r29_vff_k00 -> passed test_ANDI_r30_v00_k00 -> passed test_ANDI_r30_v01_k02 -> passed test_ANDI_r30_v0f_k00 -> passed test_ANDI_r30_v0f_kf0 -> passed test_ANDI_r30_v80_k80 -> passed test_ANDI_r30_vfe_k01 -> passed test_ANDI_r30_vff_k00 -> passed test_ANDI_r31_v00_k00 -> passed test_ANDI_r31_v01_k02 -> passed test_ANDI_r31_v0f_k00 -> passed test_ANDI_r31_v0f_kf0 -> passed test_ANDI_r31_v80_k80 -> passed test_ANDI_r31_vfe_k01 -> passed test_ANDI_r31_vff_k00 -> passed ---- loading tests from test_SBCI module test_SBCI_r16_v00_k00_C0_Z0 -> passed test_SBCI_r16_v00_k00_C0_Z1 -> passed test_SBCI_r16_v00_k00_C1_Z0 -> passed test_SBCI_r16_v00_k00_C1_Z1 -> passed test_SBCI_r16_v01_k02_C0_Z0 -> passed test_SBCI_r16_v01_k02_C0_Z1 -> passed test_SBCI_r16_v01_k02_C1_Z0 -> passed test_SBCI_r16_v01_k02_C1_Z1 -> passed test_SBCI_r16_v0f_k00_C0_Z0 -> passed test_SBCI_r16_v0f_k00_C0_Z1 -> passed test_SBCI_r16_v0f_k00_C1_Z0 -> passed test_SBCI_r16_v0f_k00_C1_Z1 -> passed test_SBCI_r16_v0f_kf0_C0_Z0 -> passed test_SBCI_r16_v0f_kf0_C0_Z1 -> passed test_SBCI_r16_v0f_kf0_C1_Z0 -> passed test_SBCI_r16_v0f_kf0_C1_Z1 -> passed test_SBCI_r16_v80_k01_C0_Z0 -> passed test_SBCI_r16_v80_k01_C0_Z1 -> passed test_SBCI_r16_v80_k01_C1_Z0 -> passed test_SBCI_r16_v80_k01_C1_Z1 -> passed test_SBCI_r16_vfe_k01_C0_Z0 -> passed test_SBCI_r16_vfe_k01_C0_Z1 -> passed test_SBCI_r16_vfe_k01_C1_Z0 -> passed test_SBCI_r16_vfe_k01_C1_Z1 -> passed test_SBCI_r16_vff_k00_C0_Z0 -> passed test_SBCI_r16_vff_k00_C0_Z1 -> passed test_SBCI_r16_vff_k00_C1_Z0 -> passed test_SBCI_r16_vff_k00_C1_Z1 -> passed test_SBCI_r17_v00_k00_C0_Z0 -> passed test_SBCI_r17_v00_k00_C0_Z1 -> passed test_SBCI_r17_v00_k00_C1_Z0 -> passed test_SBCI_r17_v00_k00_C1_Z1 -> passed test_SBCI_r17_v01_k02_C0_Z0 -> passed test_SBCI_r17_v01_k02_C0_Z1 -> passed test_SBCI_r17_v01_k02_C1_Z0 -> passed test_SBCI_r17_v01_k02_C1_Z1 -> passed test_SBCI_r17_v0f_k00_C0_Z0 -> passed test_SBCI_r17_v0f_k00_C0_Z1 -> passed test_SBCI_r17_v0f_k00_C1_Z0 -> passed test_SBCI_r17_v0f_k00_C1_Z1 -> passed test_SBCI_r17_v0f_kf0_C0_Z0 -> passed test_SBCI_r17_v0f_kf0_C0_Z1 -> passed test_SBCI_r17_v0f_kf0_C1_Z0 -> passed test_SBCI_r17_v0f_kf0_C1_Z1 -> passed test_SBCI_r17_v80_k01_C0_Z0 -> passed test_SBCI_r17_v80_k01_C0_Z1 -> passed test_SBCI_r17_v80_k01_C1_Z0 -> passed test_SBCI_r17_v80_k01_C1_Z1 -> passed test_SBCI_r17_vfe_k01_C0_Z0 -> passed test_SBCI_r17_vfe_k01_C0_Z1 -> passed test_SBCI_r17_vfe_k01_C1_Z0 -> passed test_SBCI_r17_vfe_k01_C1_Z1 -> passed test_SBCI_r17_vff_k00_C0_Z0 -> passed test_SBCI_r17_vff_k00_C0_Z1 -> passed test_SBCI_r17_vff_k00_C1_Z0 -> passed test_SBCI_r17_vff_k00_C1_Z1 -> passed test_SBCI_r18_v00_k00_C0_Z0 -> passed test_SBCI_r18_v00_k00_C0_Z1 -> passed test_SBCI_r18_v00_k00_C1_Z0 -> passed test_SBCI_r18_v00_k00_C1_Z1 -> passed test_SBCI_r18_v01_k02_C0_Z0 -> passed test_SBCI_r18_v01_k02_C0_Z1 -> passed test_SBCI_r18_v01_k02_C1_Z0 -> passed test_SBCI_r18_v01_k02_C1_Z1 -> passed test_SBCI_r18_v0f_k00_C0_Z0 -> passed test_SBCI_r18_v0f_k00_C0_Z1 -> passed test_SBCI_r18_v0f_k00_C1_Z0 -> passed test_SBCI_r18_v0f_k00_C1_Z1 -> passed test_SBCI_r18_v0f_kf0_C0_Z0 -> passed test_SBCI_r18_v0f_kf0_C0_Z1 -> passed test_SBCI_r18_v0f_kf0_C1_Z0 -> passed test_SBCI_r18_v0f_kf0_C1_Z1 -> passed test_SBCI_r18_v80_k01_C0_Z0 -> passed test_SBCI_r18_v80_k01_C0_Z1 -> passed test_SBCI_r18_v80_k01_C1_Z0 -> passed test_SBCI_r18_v80_k01_C1_Z1 -> passed test_SBCI_r18_vfe_k01_C0_Z0 -> passed test_SBCI_r18_vfe_k01_C0_Z1 -> passed test_SBCI_r18_vfe_k01_C1_Z0 -> passed test_SBCI_r18_vfe_k01_C1_Z1 -> passed test_SBCI_r18_vff_k00_C0_Z0 -> passed test_SBCI_r18_vff_k00_C0_Z1 -> passed test_SBCI_r18_vff_k00_C1_Z0 -> passed test_SBCI_r18_vff_k00_C1_Z1 -> passed test_SBCI_r19_v00_k00_C0_Z0 -> passed test_SBCI_r19_v00_k00_C0_Z1 -> passed test_SBCI_r19_v00_k00_C1_Z0 -> passed test_SBCI_r19_v00_k00_C1_Z1 -> passed test_SBCI_r19_v01_k02_C0_Z0 -> passed test_SBCI_r19_v01_k02_C0_Z1 -> passed test_SBCI_r19_v01_k02_C1_Z0 -> passed test_SBCI_r19_v01_k02_C1_Z1 -> passed test_SBCI_r19_v0f_k00_C0_Z0 -> passed test_SBCI_r19_v0f_k00_C0_Z1 -> passed test_SBCI_r19_v0f_k00_C1_Z0 -> passed test_SBCI_r19_v0f_k00_C1_Z1 -> passed test_SBCI_r19_v0f_kf0_C0_Z0 -> passed test_SBCI_r19_v0f_kf0_C0_Z1 -> passed test_SBCI_r19_v0f_kf0_C1_Z0 -> passed test_SBCI_r19_v0f_kf0_C1_Z1 -> passed test_SBCI_r19_v80_k01_C0_Z0 -> passed test_SBCI_r19_v80_k01_C0_Z1 -> passed test_SBCI_r19_v80_k01_C1_Z0 -> passed test_SBCI_r19_v80_k01_C1_Z1 -> passed test_SBCI_r19_vfe_k01_C0_Z0 -> passed test_SBCI_r19_vfe_k01_C0_Z1 -> passed test_SBCI_r19_vfe_k01_C1_Z0 -> passed test_SBCI_r19_vfe_k01_C1_Z1 -> passed test_SBCI_r19_vff_k00_C0_Z0 -> passed test_SBCI_r19_vff_k00_C0_Z1 -> passed test_SBCI_r19_vff_k00_C1_Z0 -> passed test_SBCI_r19_vff_k00_C1_Z1 -> passed test_SBCI_r20_v00_k00_C0_Z0 -> passed test_SBCI_r20_v00_k00_C0_Z1 -> passed test_SBCI_r20_v00_k00_C1_Z0 -> passed test_SBCI_r20_v00_k00_C1_Z1 -> passed test_SBCI_r20_v01_k02_C0_Z0 -> passed test_SBCI_r20_v01_k02_C0_Z1 -> passed test_SBCI_r20_v01_k02_C1_Z0 -> passed test_SBCI_r20_v01_k02_C1_Z1 -> passed test_SBCI_r20_v0f_k00_C0_Z0 -> passed test_SBCI_r20_v0f_k00_C0_Z1 -> passed test_SBCI_r20_v0f_k00_C1_Z0 -> passed test_SBCI_r20_v0f_k00_C1_Z1 -> passed test_SBCI_r20_v0f_kf0_C0_Z0 -> passed test_SBCI_r20_v0f_kf0_C0_Z1 -> passed test_SBCI_r20_v0f_kf0_C1_Z0 -> passed test_SBCI_r20_v0f_kf0_C1_Z1 -> passed test_SBCI_r20_v80_k01_C0_Z0 -> passed test_SBCI_r20_v80_k01_C0_Z1 -> passed test_SBCI_r20_v80_k01_C1_Z0 -> passed test_SBCI_r20_v80_k01_C1_Z1 -> passed test_SBCI_r20_vfe_k01_C0_Z0 -> passed test_SBCI_r20_vfe_k01_C0_Z1 -> passed test_SBCI_r20_vfe_k01_C1_Z0 -> passed test_SBCI_r20_vfe_k01_C1_Z1 -> passed test_SBCI_r20_vff_k00_C0_Z0 -> passed test_SBCI_r20_vff_k00_C0_Z1 -> passed test_SBCI_r20_vff_k00_C1_Z0 -> passed test_SBCI_r20_vff_k00_C1_Z1 -> passed test_SBCI_r21_v00_k00_C0_Z0 -> passed test_SBCI_r21_v00_k00_C0_Z1 -> passed test_SBCI_r21_v00_k00_C1_Z0 -> passed test_SBCI_r21_v00_k00_C1_Z1 -> passed test_SBCI_r21_v01_k02_C0_Z0 -> passed test_SBCI_r21_v01_k02_C0_Z1 -> passed test_SBCI_r21_v01_k02_C1_Z0 -> passed test_SBCI_r21_v01_k02_C1_Z1 -> passed test_SBCI_r21_v0f_k00_C0_Z0 -> passed test_SBCI_r21_v0f_k00_C0_Z1 -> passed test_SBCI_r21_v0f_k00_C1_Z0 -> passed test_SBCI_r21_v0f_k00_C1_Z1 -> passed test_SBCI_r21_v0f_kf0_C0_Z0 -> passed test_SBCI_r21_v0f_kf0_C0_Z1 -> passed test_SBCI_r21_v0f_kf0_C1_Z0 -> passed test_SBCI_r21_v0f_kf0_C1_Z1 -> passed test_SBCI_r21_v80_k01_C0_Z0 -> passed test_SBCI_r21_v80_k01_C0_Z1 -> passed test_SBCI_r21_v80_k01_C1_Z0 -> passed test_SBCI_r21_v80_k01_C1_Z1 -> passed test_SBCI_r21_vfe_k01_C0_Z0 -> passed test_SBCI_r21_vfe_k01_C0_Z1 -> passed test_SBCI_r21_vfe_k01_C1_Z0 -> passed test_SBCI_r21_vfe_k01_C1_Z1 -> passed test_SBCI_r21_vff_k00_C0_Z0 -> passed test_SBCI_r21_vff_k00_C0_Z1 -> passed test_SBCI_r21_vff_k00_C1_Z0 -> passed test_SBCI_r21_vff_k00_C1_Z1 -> passed test_SBCI_r22_v00_k00_C0_Z0 -> passed test_SBCI_r22_v00_k00_C0_Z1 -> passed test_SBCI_r22_v00_k00_C1_Z0 -> passed test_SBCI_r22_v00_k00_C1_Z1 -> passed test_SBCI_r22_v01_k02_C0_Z0 -> passed test_SBCI_r22_v01_k02_C0_Z1 -> passed test_SBCI_r22_v01_k02_C1_Z0 -> passed test_SBCI_r22_v01_k02_C1_Z1 -> passed test_SBCI_r22_v0f_k00_C0_Z0 -> passed test_SBCI_r22_v0f_k00_C0_Z1 -> passed test_SBCI_r22_v0f_k00_C1_Z0 -> passed test_SBCI_r22_v0f_k00_C1_Z1 -> passed test_SBCI_r22_v0f_kf0_C0_Z0 -> passed test_SBCI_r22_v0f_kf0_C0_Z1 -> passed test_SBCI_r22_v0f_kf0_C1_Z0 -> passed test_SBCI_r22_v0f_kf0_C1_Z1 -> passed test_SBCI_r22_v80_k01_C0_Z0 -> passed test_SBCI_r22_v80_k01_C0_Z1 -> passed test_SBCI_r22_v80_k01_C1_Z0 -> passed test_SBCI_r22_v80_k01_C1_Z1 -> passed test_SBCI_r22_vfe_k01_C0_Z0 -> passed test_SBCI_r22_vfe_k01_C0_Z1 -> passed test_SBCI_r22_vfe_k01_C1_Z0 -> passed test_SBCI_r22_vfe_k01_C1_Z1 -> passed test_SBCI_r22_vff_k00_C0_Z0 -> passed test_SBCI_r22_vff_k00_C0_Z1 -> passed test_SBCI_r22_vff_k00_C1_Z0 -> passed test_SBCI_r22_vff_k00_C1_Z1 -> passed test_SBCI_r23_v00_k00_C0_Z0 -> passed test_SBCI_r23_v00_k00_C0_Z1 -> passed test_SBCI_r23_v00_k00_C1_Z0 -> passed test_SBCI_r23_v00_k00_C1_Z1 -> passed test_SBCI_r23_v01_k02_C0_Z0 -> passed test_SBCI_r23_v01_k02_C0_Z1 -> passed test_SBCI_r23_v01_k02_C1_Z0 -> passed test_SBCI_r23_v01_k02_C1_Z1 -> passed test_SBCI_r23_v0f_k00_C0_Z0 -> passed test_SBCI_r23_v0f_k00_C0_Z1 -> passed test_SBCI_r23_v0f_k00_C1_Z0 -> passed test_SBCI_r23_v0f_k00_C1_Z1 -> passed test_SBCI_r23_v0f_kf0_C0_Z0 -> passed test_SBCI_r23_v0f_kf0_C0_Z1 -> passed test_SBCI_r23_v0f_kf0_C1_Z0 -> passed test_SBCI_r23_v0f_kf0_C1_Z1 -> passed test_SBCI_r23_v80_k01_C0_Z0 -> passed test_SBCI_r23_v80_k01_C0_Z1 -> passed test_SBCI_r23_v80_k01_C1_Z0 -> passed test_SBCI_r23_v80_k01_C1_Z1 -> passed test_SBCI_r23_vfe_k01_C0_Z0 -> passed test_SBCI_r23_vfe_k01_C0_Z1 -> passed test_SBCI_r23_vfe_k01_C1_Z0 -> passed test_SBCI_r23_vfe_k01_C1_Z1 -> passed test_SBCI_r23_vff_k00_C0_Z0 -> passed test_SBCI_r23_vff_k00_C0_Z1 -> passed test_SBCI_r23_vff_k00_C1_Z0 -> passed test_SBCI_r23_vff_k00_C1_Z1 -> passed test_SBCI_r24_v00_k00_C0_Z0 -> passed test_SBCI_r24_v00_k00_C0_Z1 -> passed test_SBCI_r24_v00_k00_C1_Z0 -> passed test_SBCI_r24_v00_k00_C1_Z1 -> passed test_SBCI_r24_v01_k02_C0_Z0 -> passed test_SBCI_r24_v01_k02_C0_Z1 -> passed test_SBCI_r24_v01_k02_C1_Z0 -> passed test_SBCI_r24_v01_k02_C1_Z1 -> passed test_SBCI_r24_v0f_k00_C0_Z0 -> passed test_SBCI_r24_v0f_k00_C0_Z1 -> passed test_SBCI_r24_v0f_k00_C1_Z0 -> passed test_SBCI_r24_v0f_k00_C1_Z1 -> passed test_SBCI_r24_v0f_kf0_C0_Z0 -> passed test_SBCI_r24_v0f_kf0_C0_Z1 -> passed test_SBCI_r24_v0f_kf0_C1_Z0 -> passed test_SBCI_r24_v0f_kf0_C1_Z1 -> passed test_SBCI_r24_v80_k01_C0_Z0 -> passed test_SBCI_r24_v80_k01_C0_Z1 -> passed test_SBCI_r24_v80_k01_C1_Z0 -> passed test_SBCI_r24_v80_k01_C1_Z1 -> passed test_SBCI_r24_vfe_k01_C0_Z0 -> passed test_SBCI_r24_vfe_k01_C0_Z1 -> passed test_SBCI_r24_vfe_k01_C1_Z0 -> passed test_SBCI_r24_vfe_k01_C1_Z1 -> passed test_SBCI_r24_vff_k00_C0_Z0 -> passed test_SBCI_r24_vff_k00_C0_Z1 -> passed test_SBCI_r24_vff_k00_C1_Z0 -> passed test_SBCI_r24_vff_k00_C1_Z1 -> passed test_SBCI_r25_v00_k00_C0_Z0 -> passed test_SBCI_r25_v00_k00_C0_Z1 -> passed test_SBCI_r25_v00_k00_C1_Z0 -> passed test_SBCI_r25_v00_k00_C1_Z1 -> passed test_SBCI_r25_v01_k02_C0_Z0 -> passed test_SBCI_r25_v01_k02_C0_Z1 -> passed test_SBCI_r25_v01_k02_C1_Z0 -> passed test_SBCI_r25_v01_k02_C1_Z1 -> passed test_SBCI_r25_v0f_k00_C0_Z0 -> passed test_SBCI_r25_v0f_k00_C0_Z1 -> passed test_SBCI_r25_v0f_k00_C1_Z0 -> passed test_SBCI_r25_v0f_k00_C1_Z1 -> passed test_SBCI_r25_v0f_kf0_C0_Z0 -> passed test_SBCI_r25_v0f_kf0_C0_Z1 -> passed test_SBCI_r25_v0f_kf0_C1_Z0 -> passed test_SBCI_r25_v0f_kf0_C1_Z1 -> passed test_SBCI_r25_v80_k01_C0_Z0 -> passed test_SBCI_r25_v80_k01_C0_Z1 -> passed test_SBCI_r25_v80_k01_C1_Z0 -> passed test_SBCI_r25_v80_k01_C1_Z1 -> passed test_SBCI_r25_vfe_k01_C0_Z0 -> passed test_SBCI_r25_vfe_k01_C0_Z1 -> passed test_SBCI_r25_vfe_k01_C1_Z0 -> passed test_SBCI_r25_vfe_k01_C1_Z1 -> passed test_SBCI_r25_vff_k00_C0_Z0 -> passed test_SBCI_r25_vff_k00_C0_Z1 -> passed test_SBCI_r25_vff_k00_C1_Z0 -> passed test_SBCI_r25_vff_k00_C1_Z1 -> passed test_SBCI_r26_v00_k00_C0_Z0 -> passed test_SBCI_r26_v00_k00_C0_Z1 -> passed test_SBCI_r26_v00_k00_C1_Z0 -> passed test_SBCI_r26_v00_k00_C1_Z1 -> passed test_SBCI_r26_v01_k02_C0_Z0 -> passed test_SBCI_r26_v01_k02_C0_Z1 -> passed test_SBCI_r26_v01_k02_C1_Z0 -> passed test_SBCI_r26_v01_k02_C1_Z1 -> passed test_SBCI_r26_v0f_k00_C0_Z0 -> passed test_SBCI_r26_v0f_k00_C0_Z1 -> passed test_SBCI_r26_v0f_k00_C1_Z0 -> passed test_SBCI_r26_v0f_k00_C1_Z1 -> passed test_SBCI_r26_v0f_kf0_C0_Z0 -> passed test_SBCI_r26_v0f_kf0_C0_Z1 -> passed test_SBCI_r26_v0f_kf0_C1_Z0 -> passed test_SBCI_r26_v0f_kf0_C1_Z1 -> passed test_SBCI_r26_v80_k01_C0_Z0 -> passed test_SBCI_r26_v80_k01_C0_Z1 -> passed test_SBCI_r26_v80_k01_C1_Z0 -> passed test_SBCI_r26_v80_k01_C1_Z1 -> passed test_SBCI_r26_vfe_k01_C0_Z0 -> passed test_SBCI_r26_vfe_k01_C0_Z1 -> passed test_SBCI_r26_vfe_k01_C1_Z0 -> passed test_SBCI_r26_vfe_k01_C1_Z1 -> passed test_SBCI_r26_vff_k00_C0_Z0 -> passed test_SBCI_r26_vff_k00_C0_Z1 -> passed test_SBCI_r26_vff_k00_C1_Z0 -> passed test_SBCI_r26_vff_k00_C1_Z1 -> passed test_SBCI_r27_v00_k00_C0_Z0 -> passed test_SBCI_r27_v00_k00_C0_Z1 -> passed test_SBCI_r27_v00_k00_C1_Z0 -> passed test_SBCI_r27_v00_k00_C1_Z1 -> passed test_SBCI_r27_v01_k02_C0_Z0 -> passed test_SBCI_r27_v01_k02_C0_Z1 -> passed test_SBCI_r27_v01_k02_C1_Z0 -> passed test_SBCI_r27_v01_k02_C1_Z1 -> passed test_SBCI_r27_v0f_k00_C0_Z0 -> passed test_SBCI_r27_v0f_k00_C0_Z1 -> passed test_SBCI_r27_v0f_k00_C1_Z0 -> passed test_SBCI_r27_v0f_k00_C1_Z1 -> passed test_SBCI_r27_v0f_kf0_C0_Z0 -> passed test_SBCI_r27_v0f_kf0_C0_Z1 -> passed test_SBCI_r27_v0f_kf0_C1_Z0 -> passed test_SBCI_r27_v0f_kf0_C1_Z1 -> passed test_SBCI_r27_v80_k01_C0_Z0 -> passed test_SBCI_r27_v80_k01_C0_Z1 -> passed test_SBCI_r27_v80_k01_C1_Z0 -> passed test_SBCI_r27_v80_k01_C1_Z1 -> passed test_SBCI_r27_vfe_k01_C0_Z0 -> passed test_SBCI_r27_vfe_k01_C0_Z1 -> passed test_SBCI_r27_vfe_k01_C1_Z0 -> passed test_SBCI_r27_vfe_k01_C1_Z1 -> passed test_SBCI_r27_vff_k00_C0_Z0 -> passed test_SBCI_r27_vff_k00_C0_Z1 -> passed test_SBCI_r27_vff_k00_C1_Z0 -> passed test_SBCI_r27_vff_k00_C1_Z1 -> passed test_SBCI_r28_v00_k00_C0_Z0 -> passed test_SBCI_r28_v00_k00_C0_Z1 -> passed test_SBCI_r28_v00_k00_C1_Z0 -> passed test_SBCI_r28_v00_k00_C1_Z1 -> passed test_SBCI_r28_v01_k02_C0_Z0 -> passed test_SBCI_r28_v01_k02_C0_Z1 -> passed test_SBCI_r28_v01_k02_C1_Z0 -> passed test_SBCI_r28_v01_k02_C1_Z1 -> passed test_SBCI_r28_v0f_k00_C0_Z0 -> passed test_SBCI_r28_v0f_k00_C0_Z1 -> passed test_SBCI_r28_v0f_k00_C1_Z0 -> passed test_SBCI_r28_v0f_k00_C1_Z1 -> passed test_SBCI_r28_v0f_kf0_C0_Z0 -> passed test_SBCI_r28_v0f_kf0_C0_Z1 -> passed test_SBCI_r28_v0f_kf0_C1_Z0 -> passed test_SBCI_r28_v0f_kf0_C1_Z1 -> passed test_SBCI_r28_v80_k01_C0_Z0 -> passed test_SBCI_r28_v80_k01_C0_Z1 -> passed test_SBCI_r28_v80_k01_C1_Z0 -> passed test_SBCI_r28_v80_k01_C1_Z1 -> passed test_SBCI_r28_vfe_k01_C0_Z0 -> passed test_SBCI_r28_vfe_k01_C0_Z1 -> passed test_SBCI_r28_vfe_k01_C1_Z0 -> passed test_SBCI_r28_vfe_k01_C1_Z1 -> passed test_SBCI_r28_vff_k00_C0_Z0 -> passed test_SBCI_r28_vff_k00_C0_Z1 -> passed test_SBCI_r28_vff_k00_C1_Z0 -> passed test_SBCI_r28_vff_k00_C1_Z1 -> passed test_SBCI_r29_v00_k00_C0_Z0 -> passed test_SBCI_r29_v00_k00_C0_Z1 -> passed test_SBCI_r29_v00_k00_C1_Z0 -> passed test_SBCI_r29_v00_k00_C1_Z1 -> passed test_SBCI_r29_v01_k02_C0_Z0 -> passed test_SBCI_r29_v01_k02_C0_Z1 -> passed test_SBCI_r29_v01_k02_C1_Z0 -> passed test_SBCI_r29_v01_k02_C1_Z1 -> passed test_SBCI_r29_v0f_k00_C0_Z0 -> passed test_SBCI_r29_v0f_k00_C0_Z1 -> passed test_SBCI_r29_v0f_k00_C1_Z0 -> passed test_SBCI_r29_v0f_k00_C1_Z1 -> passed test_SBCI_r29_v0f_kf0_C0_Z0 -> passed test_SBCI_r29_v0f_kf0_C0_Z1 -> passed test_SBCI_r29_v0f_kf0_C1_Z0 -> passed test_SBCI_r29_v0f_kf0_C1_Z1 -> passed test_SBCI_r29_v80_k01_C0_Z0 -> passed test_SBCI_r29_v80_k01_C0_Z1 -> passed test_SBCI_r29_v80_k01_C1_Z0 -> passed test_SBCI_r29_v80_k01_C1_Z1 -> passed test_SBCI_r29_vfe_k01_C0_Z0 -> passed test_SBCI_r29_vfe_k01_C0_Z1 -> passed test_SBCI_r29_vfe_k01_C1_Z0 -> passed test_SBCI_r29_vfe_k01_C1_Z1 -> passed test_SBCI_r29_vff_k00_C0_Z0 -> passed test_SBCI_r29_vff_k00_C0_Z1 -> passed test_SBCI_r29_vff_k00_C1_Z0 -> passed test_SBCI_r29_vff_k00_C1_Z1 -> passed test_SBCI_r30_v00_k00_C0_Z0 -> passed test_SBCI_r30_v00_k00_C0_Z1 -> passed test_SBCI_r30_v00_k00_C1_Z0 -> passed test_SBCI_r30_v00_k00_C1_Z1 -> passed test_SBCI_r30_v01_k02_C0_Z0 -> passed test_SBCI_r30_v01_k02_C0_Z1 -> passed test_SBCI_r30_v01_k02_C1_Z0 -> passed test_SBCI_r30_v01_k02_C1_Z1 -> passed test_SBCI_r30_v0f_k00_C0_Z0 -> passed test_SBCI_r30_v0f_k00_C0_Z1 -> passed test_SBCI_r30_v0f_k00_C1_Z0 -> passed test_SBCI_r30_v0f_k00_C1_Z1 -> passed test_SBCI_r30_v0f_kf0_C0_Z0 -> passed test_SBCI_r30_v0f_kf0_C0_Z1 -> passed test_SBCI_r30_v0f_kf0_C1_Z0 -> passed test_SBCI_r30_v0f_kf0_C1_Z1 -> passed test_SBCI_r30_v80_k01_C0_Z0 -> passed test_SBCI_r30_v80_k01_C0_Z1 -> passed test_SBCI_r30_v80_k01_C1_Z0 -> passed test_SBCI_r30_v80_k01_C1_Z1 -> passed test_SBCI_r30_vfe_k01_C0_Z0 -> passed test_SBCI_r30_vfe_k01_C0_Z1 -> passed test_SBCI_r30_vfe_k01_C1_Z0 -> passed test_SBCI_r30_vfe_k01_C1_Z1 -> passed test_SBCI_r30_vff_k00_C0_Z0 -> passed test_SBCI_r30_vff_k00_C0_Z1 -> passed test_SBCI_r30_vff_k00_C1_Z0 -> passed test_SBCI_r30_vff_k00_C1_Z1 -> passed test_SBCI_r31_v00_k00_C0_Z0 -> passed test_SBCI_r31_v00_k00_C0_Z1 -> passed test_SBCI_r31_v00_k00_C1_Z0 -> passed test_SBCI_r31_v00_k00_C1_Z1 -> passed test_SBCI_r31_v01_k02_C0_Z0 -> passed test_SBCI_r31_v01_k02_C0_Z1 -> passed test_SBCI_r31_v01_k02_C1_Z0 -> passed test_SBCI_r31_v01_k02_C1_Z1 -> passed test_SBCI_r31_v0f_k00_C0_Z0 -> passed test_SBCI_r31_v0f_k00_C0_Z1 -> passed test_SBCI_r31_v0f_k00_C1_Z0 -> passed test_SBCI_r31_v0f_k00_C1_Z1 -> passed test_SBCI_r31_v0f_kf0_C0_Z0 -> passed test_SBCI_r31_v0f_kf0_C0_Z1 -> passed test_SBCI_r31_v0f_kf0_C1_Z0 -> passed test_SBCI_r31_v0f_kf0_C1_Z1 -> passed test_SBCI_r31_v80_k01_C0_Z0 -> passed test_SBCI_r31_v80_k01_C0_Z1 -> passed test_SBCI_r31_v80_k01_C1_Z0 -> passed test_SBCI_r31_v80_k01_C1_Z1 -> passed test_SBCI_r31_vfe_k01_C0_Z0 -> passed test_SBCI_r31_vfe_k01_C0_Z1 -> passed test_SBCI_r31_vfe_k01_C1_Z0 -> passed test_SBCI_r31_vfe_k01_C1_Z1 -> passed test_SBCI_r31_vff_k00_C0_Z0 -> passed test_SBCI_r31_vff_k00_C0_Z1 -> passed test_SBCI_r31_vff_k00_C1_Z0 -> passed test_SBCI_r31_vff_k00_C1_Z1 -> passed ---- loading tests from test_LPM module test_LPM_Z0010 -> passed test_LPM_Z0011 -> passed test_LPM_Z0100 -> passed test_LPM_Z0101 -> passed ---- loading tests from test_ORI module test_ORI_r16_v00_k00 -> passed test_ORI_r16_v0f_k00 -> passed test_ORI_r16_v0f_kf0 -> passed test_ORI_r16_v23_kff -> passed test_ORI_r16_vfe_k01 -> passed test_ORI_r16_vff_k00 -> passed test_ORI_r17_v00_k00 -> passed test_ORI_r17_v0f_k00 -> passed test_ORI_r17_v0f_kf0 -> passed test_ORI_r17_v23_kff -> passed test_ORI_r17_vfe_k01 -> passed test_ORI_r17_vff_k00 -> passed test_ORI_r18_v00_k00 -> passed test_ORI_r18_v0f_k00 -> passed test_ORI_r18_v0f_kf0 -> passed test_ORI_r18_v23_kff -> passed test_ORI_r18_vfe_k01 -> passed test_ORI_r18_vff_k00 -> passed test_ORI_r19_v00_k00 -> passed test_ORI_r19_v0f_k00 -> passed test_ORI_r19_v0f_kf0 -> passed test_ORI_r19_v23_kff -> passed test_ORI_r19_vfe_k01 -> passed test_ORI_r19_vff_k00 -> passed test_ORI_r20_v00_k00 -> passed test_ORI_r20_v0f_k00 -> passed test_ORI_r20_v0f_kf0 -> passed test_ORI_r20_v23_kff -> passed test_ORI_r20_vfe_k01 -> passed test_ORI_r20_vff_k00 -> passed test_ORI_r21_v00_k00 -> passed test_ORI_r21_v0f_k00 -> passed test_ORI_r21_v0f_kf0 -> passed test_ORI_r21_v23_kff -> passed test_ORI_r21_vfe_k01 -> passed test_ORI_r21_vff_k00 -> passed test_ORI_r22_v00_k00 -> passed test_ORI_r22_v0f_k00 -> passed test_ORI_r22_v0f_kf0 -> passed test_ORI_r22_v23_kff -> passed test_ORI_r22_vfe_k01 -> passed test_ORI_r22_vff_k00 -> passed test_ORI_r23_v00_k00 -> passed test_ORI_r23_v0f_k00 -> passed test_ORI_r23_v0f_kf0 -> passed test_ORI_r23_v23_kff -> passed test_ORI_r23_vfe_k01 -> passed test_ORI_r23_vff_k00 -> passed test_ORI_r24_v00_k00 -> passed test_ORI_r24_v0f_k00 -> passed test_ORI_r24_v0f_kf0 -> passed test_ORI_r24_v23_kff -> passed test_ORI_r24_vfe_k01 -> passed test_ORI_r24_vff_k00 -> passed test_ORI_r25_v00_k00 -> passed test_ORI_r25_v0f_k00 -> passed test_ORI_r25_v0f_kf0 -> passed test_ORI_r25_v23_kff -> passed test_ORI_r25_vfe_k01 -> passed test_ORI_r25_vff_k00 -> passed test_ORI_r26_v00_k00 -> passed test_ORI_r26_v0f_k00 -> passed test_ORI_r26_v0f_kf0 -> passed test_ORI_r26_v23_kff -> passed test_ORI_r26_vfe_k01 -> passed test_ORI_r26_vff_k00 -> passed test_ORI_r27_v00_k00 -> passed test_ORI_r27_v0f_k00 -> passed test_ORI_r27_v0f_kf0 -> passed test_ORI_r27_v23_kff -> passed test_ORI_r27_vfe_k01 -> passed test_ORI_r27_vff_k00 -> passed test_ORI_r28_v00_k00 -> passed test_ORI_r28_v0f_k00 -> passed test_ORI_r28_v0f_kf0 -> passed test_ORI_r28_v23_kff -> passed test_ORI_r28_vfe_k01 -> passed test_ORI_r28_vff_k00 -> passed test_ORI_r29_v00_k00 -> passed test_ORI_r29_v0f_k00 -> passed test_ORI_r29_v0f_kf0 -> passed test_ORI_r29_v23_kff -> passed test_ORI_r29_vfe_k01 -> passed test_ORI_r29_vff_k00 -> passed test_ORI_r30_v00_k00 -> passed test_ORI_r30_v0f_k00 -> passed test_ORI_r30_v0f_kf0 -> passed test_ORI_r30_v23_kff -> passed test_ORI_r30_vfe_k01 -> passed test_ORI_r30_vff_k00 -> passed test_ORI_r31_v00_k00 -> passed test_ORI_r31_v0f_k00 -> passed test_ORI_r31_v0f_kf0 -> passed test_ORI_r31_v23_kff -> passed test_ORI_r31_vfe_k01 -> passed test_ORI_r31_vff_k00 -> passed ---- loading tests from test_BST module test_BST_r00_bit0_T0 -> passed test_BST_r00_bit0_T1 -> passed test_BST_r00_bit1_T0 -> passed test_BST_r00_bit1_T1 -> passed test_BST_r00_bit2_T0 -> passed test_BST_r00_bit2_T1 -> passed test_BST_r00_bit3_T0 -> passed test_BST_r00_bit3_T1 -> passed test_BST_r00_bit4_T0 -> passed test_BST_r00_bit4_T1 -> passed test_BST_r00_bit5_T0 -> passed test_BST_r00_bit5_T1 -> passed test_BST_r00_bit6_T0 -> passed test_BST_r00_bit6_T1 -> passed test_BST_r00_bit7_T0 -> passed test_BST_r00_bit7_T1 -> passed test_BST_r01_bit0_T0 -> passed test_BST_r01_bit0_T1 -> passed test_BST_r01_bit1_T0 -> passed test_BST_r01_bit1_T1 -> passed test_BST_r01_bit2_T0 -> passed test_BST_r01_bit2_T1 -> passed test_BST_r01_bit3_T0 -> passed test_BST_r01_bit3_T1 -> passed test_BST_r01_bit4_T0 -> passed test_BST_r01_bit4_T1 -> passed test_BST_r01_bit5_T0 -> passed test_BST_r01_bit5_T1 -> passed test_BST_r01_bit6_T0 -> passed test_BST_r01_bit6_T1 -> passed test_BST_r01_bit7_T0 -> passed test_BST_r01_bit7_T1 -> passed test_BST_r02_bit0_T0 -> passed test_BST_r02_bit0_T1 -> passed test_BST_r02_bit1_T0 -> passed test_BST_r02_bit1_T1 -> passed test_BST_r02_bit2_T0 -> passed test_BST_r02_bit2_T1 -> passed test_BST_r02_bit3_T0 -> passed test_BST_r02_bit3_T1 -> passed test_BST_r02_bit4_T0 -> passed test_BST_r02_bit4_T1 -> passed test_BST_r02_bit5_T0 -> passed test_BST_r02_bit5_T1 -> passed test_BST_r02_bit6_T0 -> passed test_BST_r02_bit6_T1 -> passed test_BST_r02_bit7_T0 -> passed test_BST_r02_bit7_T1 -> passed test_BST_r03_bit0_T0 -> passed test_BST_r03_bit0_T1 -> passed test_BST_r03_bit1_T0 -> passed test_BST_r03_bit1_T1 -> passed test_BST_r03_bit2_T0 -> passed test_BST_r03_bit2_T1 -> passed test_BST_r03_bit3_T0 -> passed test_BST_r03_bit3_T1 -> passed test_BST_r03_bit4_T0 -> passed test_BST_r03_bit4_T1 -> passed test_BST_r03_bit5_T0 -> passed test_BST_r03_bit5_T1 -> passed test_BST_r03_bit6_T0 -> passed test_BST_r03_bit6_T1 -> passed test_BST_r03_bit7_T0 -> passed test_BST_r03_bit7_T1 -> passed test_BST_r04_bit0_T0 -> passed test_BST_r04_bit0_T1 -> passed test_BST_r04_bit1_T0 -> passed test_BST_r04_bit1_T1 -> passed test_BST_r04_bit2_T0 -> passed test_BST_r04_bit2_T1 -> passed test_BST_r04_bit3_T0 -> passed test_BST_r04_bit3_T1 -> passed test_BST_r04_bit4_T0 -> passed test_BST_r04_bit4_T1 -> passed test_BST_r04_bit5_T0 -> passed test_BST_r04_bit5_T1 -> passed test_BST_r04_bit6_T0 -> passed test_BST_r04_bit6_T1 -> passed test_BST_r04_bit7_T0 -> passed test_BST_r04_bit7_T1 -> passed test_BST_r05_bit0_T0 -> passed test_BST_r05_bit0_T1 -> passed test_BST_r05_bit1_T0 -> passed test_BST_r05_bit1_T1 -> passed test_BST_r05_bit2_T0 -> passed test_BST_r05_bit2_T1 -> passed test_BST_r05_bit3_T0 -> passed test_BST_r05_bit3_T1 -> passed test_BST_r05_bit4_T0 -> passed test_BST_r05_bit4_T1 -> passed test_BST_r05_bit5_T0 -> passed test_BST_r05_bit5_T1 -> passed test_BST_r05_bit6_T0 -> passed test_BST_r05_bit6_T1 -> passed test_BST_r05_bit7_T0 -> passed test_BST_r05_bit7_T1 -> passed test_BST_r06_bit0_T0 -> passed test_BST_r06_bit0_T1 -> passed test_BST_r06_bit1_T0 -> passed test_BST_r06_bit1_T1 -> passed test_BST_r06_bit2_T0 -> passed test_BST_r06_bit2_T1 -> passed test_BST_r06_bit3_T0 -> passed test_BST_r06_bit3_T1 -> passed test_BST_r06_bit4_T0 -> passed test_BST_r06_bit4_T1 -> passed test_BST_r06_bit5_T0 -> passed test_BST_r06_bit5_T1 -> passed test_BST_r06_bit6_T0 -> passed test_BST_r06_bit6_T1 -> passed test_BST_r06_bit7_T0 -> passed test_BST_r06_bit7_T1 -> passed test_BST_r07_bit0_T0 -> passed test_BST_r07_bit0_T1 -> passed test_BST_r07_bit1_T0 -> passed test_BST_r07_bit1_T1 -> passed test_BST_r07_bit2_T0 -> passed test_BST_r07_bit2_T1 -> passed test_BST_r07_bit3_T0 -> passed test_BST_r07_bit3_T1 -> passed test_BST_r07_bit4_T0 -> passed test_BST_r07_bit4_T1 -> passed test_BST_r07_bit5_T0 -> passed test_BST_r07_bit5_T1 -> passed test_BST_r07_bit6_T0 -> passed test_BST_r07_bit6_T1 -> passed test_BST_r07_bit7_T0 -> passed test_BST_r07_bit7_T1 -> passed test_BST_r08_bit0_T0 -> passed test_BST_r08_bit0_T1 -> passed test_BST_r08_bit1_T0 -> passed test_BST_r08_bit1_T1 -> passed test_BST_r08_bit2_T0 -> passed test_BST_r08_bit2_T1 -> passed test_BST_r08_bit3_T0 -> passed test_BST_r08_bit3_T1 -> passed test_BST_r08_bit4_T0 -> passed test_BST_r08_bit4_T1 -> passed test_BST_r08_bit5_T0 -> passed test_BST_r08_bit5_T1 -> passed test_BST_r08_bit6_T0 -> passed test_BST_r08_bit6_T1 -> passed test_BST_r08_bit7_T0 -> passed test_BST_r08_bit7_T1 -> passed test_BST_r09_bit0_T0 -> passed test_BST_r09_bit0_T1 -> passed test_BST_r09_bit1_T0 -> passed test_BST_r09_bit1_T1 -> passed test_BST_r09_bit2_T0 -> passed test_BST_r09_bit2_T1 -> passed test_BST_r09_bit3_T0 -> passed test_BST_r09_bit3_T1 -> passed test_BST_r09_bit4_T0 -> passed test_BST_r09_bit4_T1 -> passed test_BST_r09_bit5_T0 -> passed test_BST_r09_bit5_T1 -> passed test_BST_r09_bit6_T0 -> passed test_BST_r09_bit6_T1 -> passed test_BST_r09_bit7_T0 -> passed test_BST_r09_bit7_T1 -> passed test_BST_r10_bit0_T0 -> passed test_BST_r10_bit0_T1 -> passed test_BST_r10_bit1_T0 -> passed test_BST_r10_bit1_T1 -> passed test_BST_r10_bit2_T0 -> passed test_BST_r10_bit2_T1 -> passed test_BST_r10_bit3_T0 -> passed test_BST_r10_bit3_T1 -> passed test_BST_r10_bit4_T0 -> passed test_BST_r10_bit4_T1 -> passed test_BST_r10_bit5_T0 -> passed test_BST_r10_bit5_T1 -> passed test_BST_r10_bit6_T0 -> passed test_BST_r10_bit6_T1 -> passed test_BST_r10_bit7_T0 -> passed test_BST_r10_bit7_T1 -> passed test_BST_r11_bit0_T0 -> passed test_BST_r11_bit0_T1 -> passed test_BST_r11_bit1_T0 -> passed test_BST_r11_bit1_T1 -> passed test_BST_r11_bit2_T0 -> passed test_BST_r11_bit2_T1 -> passed test_BST_r11_bit3_T0 -> passed test_BST_r11_bit3_T1 -> passed test_BST_r11_bit4_T0 -> passed test_BST_r11_bit4_T1 -> passed test_BST_r11_bit5_T0 -> passed test_BST_r11_bit5_T1 -> passed test_BST_r11_bit6_T0 -> passed test_BST_r11_bit6_T1 -> passed test_BST_r11_bit7_T0 -> passed test_BST_r11_bit7_T1 -> passed test_BST_r12_bit0_T0 -> passed test_BST_r12_bit0_T1 -> passed test_BST_r12_bit1_T0 -> passed test_BST_r12_bit1_T1 -> passed test_BST_r12_bit2_T0 -> passed test_BST_r12_bit2_T1 -> passed test_BST_r12_bit3_T0 -> passed test_BST_r12_bit3_T1 -> passed test_BST_r12_bit4_T0 -> passed test_BST_r12_bit4_T1 -> passed test_BST_r12_bit5_T0 -> passed test_BST_r12_bit5_T1 -> passed test_BST_r12_bit6_T0 -> passed test_BST_r12_bit6_T1 -> passed test_BST_r12_bit7_T0 -> passed test_BST_r12_bit7_T1 -> passed test_BST_r13_bit0_T0 -> passed test_BST_r13_bit0_T1 -> passed test_BST_r13_bit1_T0 -> passed test_BST_r13_bit1_T1 -> passed test_BST_r13_bit2_T0 -> passed test_BST_r13_bit2_T1 -> passed test_BST_r13_bit3_T0 -> passed test_BST_r13_bit3_T1 -> passed test_BST_r13_bit4_T0 -> passed test_BST_r13_bit4_T1 -> passed test_BST_r13_bit5_T0 -> passed test_BST_r13_bit5_T1 -> passed test_BST_r13_bit6_T0 -> passed test_BST_r13_bit6_T1 -> passed test_BST_r13_bit7_T0 -> passed test_BST_r13_bit7_T1 -> passed test_BST_r14_bit0_T0 -> passed test_BST_r14_bit0_T1 -> passed test_BST_r14_bit1_T0 -> passed test_BST_r14_bit1_T1 -> passed test_BST_r14_bit2_T0 -> passed test_BST_r14_bit2_T1 -> passed test_BST_r14_bit3_T0 -> passed test_BST_r14_bit3_T1 -> passed test_BST_r14_bit4_T0 -> passed test_BST_r14_bit4_T1 -> passed test_BST_r14_bit5_T0 -> passed test_BST_r14_bit5_T1 -> passed test_BST_r14_bit6_T0 -> passed test_BST_r14_bit6_T1 -> passed test_BST_r14_bit7_T0 -> passed test_BST_r14_bit7_T1 -> passed test_BST_r15_bit0_T0 -> passed test_BST_r15_bit0_T1 -> passed test_BST_r15_bit1_T0 -> passed test_BST_r15_bit1_T1 -> passed test_BST_r15_bit2_T0 -> passed test_BST_r15_bit2_T1 -> passed test_BST_r15_bit3_T0 -> passed test_BST_r15_bit3_T1 -> passed test_BST_r15_bit4_T0 -> passed test_BST_r15_bit4_T1 -> passed test_BST_r15_bit5_T0 -> passed test_BST_r15_bit5_T1 -> passed test_BST_r15_bit6_T0 -> passed test_BST_r15_bit6_T1 -> passed test_BST_r15_bit7_T0 -> passed test_BST_r15_bit7_T1 -> passed test_BST_r16_bit0_T0 -> passed test_BST_r16_bit0_T1 -> passed test_BST_r16_bit1_T0 -> passed test_BST_r16_bit1_T1 -> passed test_BST_r16_bit2_T0 -> passed test_BST_r16_bit2_T1 -> passed test_BST_r16_bit3_T0 -> passed test_BST_r16_bit3_T1 -> passed test_BST_r16_bit4_T0 -> passed test_BST_r16_bit4_T1 -> passed test_BST_r16_bit5_T0 -> passed test_BST_r16_bit5_T1 -> passed test_BST_r16_bit6_T0 -> passed test_BST_r16_bit6_T1 -> passed test_BST_r16_bit7_T0 -> passed test_BST_r16_bit7_T1 -> passed test_BST_r17_bit0_T0 -> passed test_BST_r17_bit0_T1 -> passed test_BST_r17_bit1_T0 -> passed test_BST_r17_bit1_T1 -> passed test_BST_r17_bit2_T0 -> passed test_BST_r17_bit2_T1 -> passed test_BST_r17_bit3_T0 -> passed test_BST_r17_bit3_T1 -> passed test_BST_r17_bit4_T0 -> passed test_BST_r17_bit4_T1 -> passed test_BST_r17_bit5_T0 -> passed test_BST_r17_bit5_T1 -> passed test_BST_r17_bit6_T0 -> passed test_BST_r17_bit6_T1 -> passed test_BST_r17_bit7_T0 -> passed test_BST_r17_bit7_T1 -> passed test_BST_r18_bit0_T0 -> passed test_BST_r18_bit0_T1 -> passed test_BST_r18_bit1_T0 -> passed test_BST_r18_bit1_T1 -> passed test_BST_r18_bit2_T0 -> passed test_BST_r18_bit2_T1 -> passed test_BST_r18_bit3_T0 -> passed test_BST_r18_bit3_T1 -> passed test_BST_r18_bit4_T0 -> passed test_BST_r18_bit4_T1 -> passed test_BST_r18_bit5_T0 -> passed test_BST_r18_bit5_T1 -> passed test_BST_r18_bit6_T0 -> passed test_BST_r18_bit6_T1 -> passed test_BST_r18_bit7_T0 -> passed test_BST_r18_bit7_T1 -> passed test_BST_r19_bit0_T0 -> passed test_BST_r19_bit0_T1 -> passed test_BST_r19_bit1_T0 -> passed test_BST_r19_bit1_T1 -> passed test_BST_r19_bit2_T0 -> passed test_BST_r19_bit2_T1 -> passed test_BST_r19_bit3_T0 -> passed test_BST_r19_bit3_T1 -> passed test_BST_r19_bit4_T0 -> passed test_BST_r19_bit4_T1 -> passed test_BST_r19_bit5_T0 -> passed test_BST_r19_bit5_T1 -> passed test_BST_r19_bit6_T0 -> passed test_BST_r19_bit6_T1 -> passed test_BST_r19_bit7_T0 -> passed test_BST_r19_bit7_T1 -> passed test_BST_r20_bit0_T0 -> passed test_BST_r20_bit0_T1 -> passed test_BST_r20_bit1_T0 -> passed test_BST_r20_bit1_T1 -> passed test_BST_r20_bit2_T0 -> passed test_BST_r20_bit2_T1 -> passed test_BST_r20_bit3_T0 -> passed test_BST_r20_bit3_T1 -> passed test_BST_r20_bit4_T0 -> passed test_BST_r20_bit4_T1 -> passed test_BST_r20_bit5_T0 -> passed test_BST_r20_bit5_T1 -> passed test_BST_r20_bit6_T0 -> passed test_BST_r20_bit6_T1 -> passed test_BST_r20_bit7_T0 -> passed test_BST_r20_bit7_T1 -> passed test_BST_r21_bit0_T0 -> passed test_BST_r21_bit0_T1 -> passed test_BST_r21_bit1_T0 -> passed test_BST_r21_bit1_T1 -> passed test_BST_r21_bit2_T0 -> passed test_BST_r21_bit2_T1 -> passed test_BST_r21_bit3_T0 -> passed test_BST_r21_bit3_T1 -> passed test_BST_r21_bit4_T0 -> passed test_BST_r21_bit4_T1 -> passed test_BST_r21_bit5_T0 -> passed test_BST_r21_bit5_T1 -> passed test_BST_r21_bit6_T0 -> passed test_BST_r21_bit6_T1 -> passed test_BST_r21_bit7_T0 -> passed test_BST_r21_bit7_T1 -> passed test_BST_r22_bit0_T0 -> passed test_BST_r22_bit0_T1 -> passed test_BST_r22_bit1_T0 -> passed test_BST_r22_bit1_T1 -> passed test_BST_r22_bit2_T0 -> passed test_BST_r22_bit2_T1 -> passed test_BST_r22_bit3_T0 -> passed test_BST_r22_bit3_T1 -> passed test_BST_r22_bit4_T0 -> passed test_BST_r22_bit4_T1 -> passed test_BST_r22_bit5_T0 -> passed test_BST_r22_bit5_T1 -> passed test_BST_r22_bit6_T0 -> passed test_BST_r22_bit6_T1 -> passed test_BST_r22_bit7_T0 -> passed test_BST_r22_bit7_T1 -> passed test_BST_r23_bit0_T0 -> passed test_BST_r23_bit0_T1 -> passed test_BST_r23_bit1_T0 -> passed test_BST_r23_bit1_T1 -> passed test_BST_r23_bit2_T0 -> passed test_BST_r23_bit2_T1 -> passed test_BST_r23_bit3_T0 -> passed test_BST_r23_bit3_T1 -> passed test_BST_r23_bit4_T0 -> passed test_BST_r23_bit4_T1 -> passed test_BST_r23_bit5_T0 -> passed test_BST_r23_bit5_T1 -> passed test_BST_r23_bit6_T0 -> passed test_BST_r23_bit6_T1 -> passed test_BST_r23_bit7_T0 -> passed test_BST_r23_bit7_T1 -> passed test_BST_r24_bit0_T0 -> passed test_BST_r24_bit0_T1 -> passed test_BST_r24_bit1_T0 -> passed test_BST_r24_bit1_T1 -> passed test_BST_r24_bit2_T0 -> passed test_BST_r24_bit2_T1 -> passed test_BST_r24_bit3_T0 -> passed test_BST_r24_bit3_T1 -> passed test_BST_r24_bit4_T0 -> passed test_BST_r24_bit4_T1 -> passed test_BST_r24_bit5_T0 -> passed test_BST_r24_bit5_T1 -> passed test_BST_r24_bit6_T0 -> passed test_BST_r24_bit6_T1 -> passed test_BST_r24_bit7_T0 -> passed test_BST_r24_bit7_T1 -> passed test_BST_r25_bit0_T0 -> passed test_BST_r25_bit0_T1 -> passed test_BST_r25_bit1_T0 -> passed test_BST_r25_bit1_T1 -> passed test_BST_r25_bit2_T0 -> passed test_BST_r25_bit2_T1 -> passed test_BST_r25_bit3_T0 -> passed test_BST_r25_bit3_T1 -> passed test_BST_r25_bit4_T0 -> passed test_BST_r25_bit4_T1 -> passed test_BST_r25_bit5_T0 -> passed test_BST_r25_bit5_T1 -> passed test_BST_r25_bit6_T0 -> passed test_BST_r25_bit6_T1 -> passed test_BST_r25_bit7_T0 -> passed test_BST_r25_bit7_T1 -> passed test_BST_r26_bit0_T0 -> passed test_BST_r26_bit0_T1 -> passed test_BST_r26_bit1_T0 -> passed test_BST_r26_bit1_T1 -> passed test_BST_r26_bit2_T0 -> passed test_BST_r26_bit2_T1 -> passed test_BST_r26_bit3_T0 -> passed test_BST_r26_bit3_T1 -> passed test_BST_r26_bit4_T0 -> passed test_BST_r26_bit4_T1 -> passed test_BST_r26_bit5_T0 -> passed test_BST_r26_bit5_T1 -> passed test_BST_r26_bit6_T0 -> passed test_BST_r26_bit6_T1 -> passed test_BST_r26_bit7_T0 -> passed test_BST_r26_bit7_T1 -> passed test_BST_r27_bit0_T0 -> passed test_BST_r27_bit0_T1 -> passed test_BST_r27_bit1_T0 -> passed test_BST_r27_bit1_T1 -> passed test_BST_r27_bit2_T0 -> passed test_BST_r27_bit2_T1 -> passed test_BST_r27_bit3_T0 -> passed test_BST_r27_bit3_T1 -> passed test_BST_r27_bit4_T0 -> passed test_BST_r27_bit4_T1 -> passed test_BST_r27_bit5_T0 -> passed test_BST_r27_bit5_T1 -> passed test_BST_r27_bit6_T0 -> passed test_BST_r27_bit6_T1 -> passed test_BST_r27_bit7_T0 -> passed test_BST_r27_bit7_T1 -> passed test_BST_r28_bit0_T0 -> passed test_BST_r28_bit0_T1 -> passed test_BST_r28_bit1_T0 -> passed test_BST_r28_bit1_T1 -> passed test_BST_r28_bit2_T0 -> passed test_BST_r28_bit2_T1 -> passed test_BST_r28_bit3_T0 -> passed test_BST_r28_bit3_T1 -> passed test_BST_r28_bit4_T0 -> passed test_BST_r28_bit4_T1 -> passed test_BST_r28_bit5_T0 -> passed test_BST_r28_bit5_T1 -> passed test_BST_r28_bit6_T0 -> passed test_BST_r28_bit6_T1 -> passed test_BST_r28_bit7_T0 -> passed test_BST_r28_bit7_T1 -> passed test_BST_r29_bit0_T0 -> passed test_BST_r29_bit0_T1 -> passed test_BST_r29_bit1_T0 -> passed test_BST_r29_bit1_T1 -> passed test_BST_r29_bit2_T0 -> passed test_BST_r29_bit2_T1 -> passed test_BST_r29_bit3_T0 -> passed test_BST_r29_bit3_T1 -> passed test_BST_r29_bit4_T0 -> passed test_BST_r29_bit4_T1 -> passed test_BST_r29_bit5_T0 -> passed test_BST_r29_bit5_T1 -> passed test_BST_r29_bit6_T0 -> passed test_BST_r29_bit6_T1 -> passed test_BST_r29_bit7_T0 -> passed test_BST_r29_bit7_T1 -> passed test_BST_r30_bit0_T0 -> passed test_BST_r30_bit0_T1 -> passed test_BST_r30_bit1_T0 -> passed test_BST_r30_bit1_T1 -> passed test_BST_r30_bit2_T0 -> passed test_BST_r30_bit2_T1 -> passed test_BST_r30_bit3_T0 -> passed test_BST_r30_bit3_T1 -> passed test_BST_r30_bit4_T0 -> passed test_BST_r30_bit4_T1 -> passed test_BST_r30_bit5_T0 -> passed test_BST_r30_bit5_T1 -> passed test_BST_r30_bit6_T0 -> passed test_BST_r30_bit6_T1 -> passed test_BST_r30_bit7_T0 -> passed test_BST_r30_bit7_T1 -> passed test_BST_r31_bit0_T0 -> passed test_BST_r31_bit0_T1 -> passed test_BST_r31_bit1_T0 -> passed test_BST_r31_bit1_T1 -> passed test_BST_r31_bit2_T0 -> passed test_BST_r31_bit2_T1 -> passed test_BST_r31_bit3_T0 -> passed test_BST_r31_bit3_T1 -> passed test_BST_r31_bit4_T0 -> passed test_BST_r31_bit4_T1 -> passed test_BST_r31_bit5_T0 -> passed test_BST_r31_bit5_T1 -> passed test_BST_r31_bit6_T0 -> passed test_BST_r31_bit6_T1 -> passed test_BST_r31_bit7_T0 -> passed test_BST_r31_bit7_T1 -> passed ---- loading tests from test_ASR module test_ASR_r00_v00 -> passed test_ASR_r00_v10 -> passed test_ASR_r00_v80 -> passed test_ASR_r00_vaa -> passed test_ASR_r00_vff -> passed test_ASR_r01_v00 -> passed test_ASR_r01_v10 -> passed test_ASR_r01_v80 -> passed test_ASR_r01_vaa -> passed test_ASR_r01_vff -> passed test_ASR_r02_v00 -> passed test_ASR_r02_v10 -> passed test_ASR_r02_v80 -> passed test_ASR_r02_vaa -> passed test_ASR_r02_vff -> passed test_ASR_r03_v00 -> passed test_ASR_r03_v10 -> passed test_ASR_r03_v80 -> passed test_ASR_r03_vaa -> passed test_ASR_r03_vff -> passed test_ASR_r04_v00 -> passed test_ASR_r04_v10 -> passed test_ASR_r04_v80 -> passed test_ASR_r04_vaa -> passed test_ASR_r04_vff -> passed test_ASR_r05_v00 -> passed test_ASR_r05_v10 -> passed test_ASR_r05_v80 -> passed test_ASR_r05_vaa -> passed test_ASR_r05_vff -> passed test_ASR_r06_v00 -> passed test_ASR_r06_v10 -> passed test_ASR_r06_v80 -> passed test_ASR_r06_vaa -> passed test_ASR_r06_vff -> passed test_ASR_r07_v00 -> passed test_ASR_r07_v10 -> passed test_ASR_r07_v80 -> passed test_ASR_r07_vaa -> passed test_ASR_r07_vff -> passed test_ASR_r08_v00 -> passed test_ASR_r08_v10 -> passed test_ASR_r08_v80 -> passed test_ASR_r08_vaa -> passed test_ASR_r08_vff -> passed test_ASR_r09_v00 -> passed test_ASR_r09_v10 -> passed test_ASR_r09_v80 -> passed test_ASR_r09_vaa -> passed test_ASR_r09_vff -> passed test_ASR_r10_v00 -> passed test_ASR_r10_v10 -> passed test_ASR_r10_v80 -> passed test_ASR_r10_vaa -> passed test_ASR_r10_vff -> passed test_ASR_r11_v00 -> passed test_ASR_r11_v10 -> passed test_ASR_r11_v80 -> passed test_ASR_r11_vaa -> passed test_ASR_r11_vff -> passed test_ASR_r12_v00 -> passed test_ASR_r12_v10 -> passed test_ASR_r12_v80 -> passed test_ASR_r12_vaa -> passed test_ASR_r12_vff -> passed test_ASR_r13_v00 -> passed test_ASR_r13_v10 -> passed test_ASR_r13_v80 -> passed test_ASR_r13_vaa -> passed test_ASR_r13_vff -> passed test_ASR_r14_v00 -> passed test_ASR_r14_v10 -> passed test_ASR_r14_v80 -> passed test_ASR_r14_vaa -> passed test_ASR_r14_vff -> passed test_ASR_r15_v00 -> passed test_ASR_r15_v10 -> passed test_ASR_r15_v80 -> passed test_ASR_r15_vaa -> passed test_ASR_r15_vff -> passed test_ASR_r16_v00 -> passed test_ASR_r16_v10 -> passed test_ASR_r16_v80 -> passed test_ASR_r16_vaa -> passed test_ASR_r16_vff -> passed test_ASR_r17_v00 -> passed test_ASR_r17_v10 -> passed test_ASR_r17_v80 -> passed test_ASR_r17_vaa -> passed test_ASR_r17_vff -> passed test_ASR_r18_v00 -> passed test_ASR_r18_v10 -> passed test_ASR_r18_v80 -> passed test_ASR_r18_vaa -> passed test_ASR_r18_vff -> passed test_ASR_r19_v00 -> passed test_ASR_r19_v10 -> passed test_ASR_r19_v80 -> passed test_ASR_r19_vaa -> passed test_ASR_r19_vff -> passed test_ASR_r20_v00 -> passed test_ASR_r20_v10 -> passed test_ASR_r20_v80 -> passed test_ASR_r20_vaa -> passed test_ASR_r20_vff -> passed test_ASR_r21_v00 -> passed test_ASR_r21_v10 -> passed test_ASR_r21_v80 -> passed test_ASR_r21_vaa -> passed test_ASR_r21_vff -> passed test_ASR_r22_v00 -> passed test_ASR_r22_v10 -> passed test_ASR_r22_v80 -> passed test_ASR_r22_vaa -> passed test_ASR_r22_vff -> passed test_ASR_r23_v00 -> passed test_ASR_r23_v10 -> passed test_ASR_r23_v80 -> passed test_ASR_r23_vaa -> passed test_ASR_r23_vff -> passed test_ASR_r24_v00 -> passed test_ASR_r24_v10 -> passed test_ASR_r24_v80 -> passed test_ASR_r24_vaa -> passed test_ASR_r24_vff -> passed test_ASR_r25_v00 -> passed test_ASR_r25_v10 -> passed test_ASR_r25_v80 -> passed test_ASR_r25_vaa -> passed test_ASR_r25_vff -> passed test_ASR_r26_v00 -> passed test_ASR_r26_v10 -> passed test_ASR_r26_v80 -> passed test_ASR_r26_vaa -> passed test_ASR_r26_vff -> passed test_ASR_r27_v00 -> passed test_ASR_r27_v10 -> passed test_ASR_r27_v80 -> passed test_ASR_r27_vaa -> passed test_ASR_r27_vff -> passed test_ASR_r28_v00 -> passed test_ASR_r28_v10 -> passed test_ASR_r28_v80 -> passed test_ASR_r28_vaa -> passed test_ASR_r28_vff -> passed test_ASR_r29_v00 -> passed test_ASR_r29_v10 -> passed test_ASR_r29_v80 -> passed test_ASR_r29_vaa -> passed test_ASR_r29_vff -> passed test_ASR_r30_v00 -> passed test_ASR_r30_v10 -> passed test_ASR_r30_v80 -> passed test_ASR_r30_vaa -> passed test_ASR_r30_vff -> passed test_ASR_r31_v00 -> passed test_ASR_r31_v10 -> passed test_ASR_r31_v80 -> passed test_ASR_r31_vaa -> passed test_ASR_r31_vff -> passed ---- loading tests from test_SBIW module test_SBIW_r24_v0000_k00 -> passed test_SBIW_r24_v0000_k01 -> passed test_SBIW_r24_v0000_k3f -> passed test_SBIW_r24_v00ff_k01 -> passed test_SBIW_r24_v8000_k01 -> passed test_SBIW_r24_vffbf_k3f -> passed test_SBIW_r24_vffff_k01 -> passed test_SBIW_r26_v0000_k00 -> passed test_SBIW_r26_v0000_k01 -> passed test_SBIW_r26_v0000_k3f -> passed test_SBIW_r26_v00ff_k01 -> passed test_SBIW_r26_v8000_k01 -> passed test_SBIW_r26_vffbf_k3f -> passed test_SBIW_r26_vffff_k01 -> passed test_SBIW_r28_v0000_k00 -> passed test_SBIW_r28_v0000_k01 -> passed test_SBIW_r28_v0000_k3f -> passed test_SBIW_r28_v00ff_k01 -> passed test_SBIW_r28_v8000_k01 -> passed test_SBIW_r28_vffbf_k3f -> passed test_SBIW_r28_vffff_k01 -> passed test_SBIW_r30_v0000_k00 -> passed test_SBIW_r30_v0000_k01 -> passed test_SBIW_r30_v0000_k3f -> passed test_SBIW_r30_v00ff_k01 -> passed test_SBIW_r30_v8000_k01 -> passed test_SBIW_r30_vffbf_k3f -> passed test_SBIW_r30_vffff_k01 -> passed ---- loading tests from test_LD_X_decr module test_LD_X_decr_r00_X010f_v55 -> passed test_LD_X_decr_r00_X010f_vaa -> passed test_LD_X_decr_r00_X01ff_v55 -> passed test_LD_X_decr_r00_X01ff_vaa -> passed test_LD_X_decr_r01_X010f_v55 -> passed test_LD_X_decr_r01_X010f_vaa -> passed test_LD_X_decr_r01_X01ff_v55 -> passed test_LD_X_decr_r01_X01ff_vaa -> passed test_LD_X_decr_r02_X010f_v55 -> passed test_LD_X_decr_r02_X010f_vaa -> passed test_LD_X_decr_r02_X01ff_v55 -> passed test_LD_X_decr_r02_X01ff_vaa -> passed test_LD_X_decr_r03_X010f_v55 -> passed test_LD_X_decr_r03_X010f_vaa -> passed test_LD_X_decr_r03_X01ff_v55 -> passed test_LD_X_decr_r03_X01ff_vaa -> passed test_LD_X_decr_r04_X010f_v55 -> passed test_LD_X_decr_r04_X010f_vaa -> passed test_LD_X_decr_r04_X01ff_v55 -> passed test_LD_X_decr_r04_X01ff_vaa -> passed test_LD_X_decr_r05_X010f_v55 -> passed test_LD_X_decr_r05_X010f_vaa -> passed test_LD_X_decr_r05_X01ff_v55 -> passed test_LD_X_decr_r05_X01ff_vaa -> passed test_LD_X_decr_r06_X010f_v55 -> passed test_LD_X_decr_r06_X010f_vaa -> passed test_LD_X_decr_r06_X01ff_v55 -> passed test_LD_X_decr_r06_X01ff_vaa -> passed test_LD_X_decr_r07_X010f_v55 -> passed test_LD_X_decr_r07_X010f_vaa -> passed test_LD_X_decr_r07_X01ff_v55 -> passed test_LD_X_decr_r07_X01ff_vaa -> passed test_LD_X_decr_r08_X010f_v55 -> passed test_LD_X_decr_r08_X010f_vaa -> passed test_LD_X_decr_r08_X01ff_v55 -> passed test_LD_X_decr_r08_X01ff_vaa -> passed test_LD_X_decr_r09_X010f_v55 -> passed test_LD_X_decr_r09_X010f_vaa -> passed test_LD_X_decr_r09_X01ff_v55 -> passed test_LD_X_decr_r09_X01ff_vaa -> passed test_LD_X_decr_r10_X010f_v55 -> passed test_LD_X_decr_r10_X010f_vaa -> passed test_LD_X_decr_r10_X01ff_v55 -> passed test_LD_X_decr_r10_X01ff_vaa -> passed test_LD_X_decr_r11_X010f_v55 -> passed test_LD_X_decr_r11_X010f_vaa -> passed test_LD_X_decr_r11_X01ff_v55 -> passed test_LD_X_decr_r11_X01ff_vaa -> passed test_LD_X_decr_r12_X010f_v55 -> passed test_LD_X_decr_r12_X010f_vaa -> passed test_LD_X_decr_r12_X01ff_v55 -> passed test_LD_X_decr_r12_X01ff_vaa -> passed test_LD_X_decr_r13_X010f_v55 -> passed test_LD_X_decr_r13_X010f_vaa -> passed test_LD_X_decr_r13_X01ff_v55 -> passed test_LD_X_decr_r13_X01ff_vaa -> passed test_LD_X_decr_r14_X010f_v55 -> passed test_LD_X_decr_r14_X010f_vaa -> passed test_LD_X_decr_r14_X01ff_v55 -> passed test_LD_X_decr_r14_X01ff_vaa -> passed test_LD_X_decr_r15_X010f_v55 -> passed test_LD_X_decr_r15_X010f_vaa -> passed test_LD_X_decr_r15_X01ff_v55 -> passed test_LD_X_decr_r15_X01ff_vaa -> passed test_LD_X_decr_r16_X010f_v55 -> passed test_LD_X_decr_r16_X010f_vaa -> passed test_LD_X_decr_r16_X01ff_v55 -> passed test_LD_X_decr_r16_X01ff_vaa -> passed test_LD_X_decr_r17_X010f_v55 -> passed test_LD_X_decr_r17_X010f_vaa -> passed test_LD_X_decr_r17_X01ff_v55 -> passed test_LD_X_decr_r17_X01ff_vaa -> passed test_LD_X_decr_r18_X010f_v55 -> passed test_LD_X_decr_r18_X010f_vaa -> passed test_LD_X_decr_r18_X01ff_v55 -> passed test_LD_X_decr_r18_X01ff_vaa -> passed test_LD_X_decr_r19_X010f_v55 -> passed test_LD_X_decr_r19_X010f_vaa -> passed test_LD_X_decr_r19_X01ff_v55 -> passed test_LD_X_decr_r19_X01ff_vaa -> passed test_LD_X_decr_r20_X010f_v55 -> passed test_LD_X_decr_r20_X010f_vaa -> passed test_LD_X_decr_r20_X01ff_v55 -> passed test_LD_X_decr_r20_X01ff_vaa -> passed test_LD_X_decr_r21_X010f_v55 -> passed test_LD_X_decr_r21_X010f_vaa -> passed test_LD_X_decr_r21_X01ff_v55 -> passed test_LD_X_decr_r21_X01ff_vaa -> passed test_LD_X_decr_r22_X010f_v55 -> passed test_LD_X_decr_r22_X010f_vaa -> passed test_LD_X_decr_r22_X01ff_v55 -> passed test_LD_X_decr_r22_X01ff_vaa -> passed test_LD_X_decr_r23_X010f_v55 -> passed test_LD_X_decr_r23_X010f_vaa -> passed test_LD_X_decr_r23_X01ff_v55 -> passed test_LD_X_decr_r23_X01ff_vaa -> passed test_LD_X_decr_r24_X010f_v55 -> passed test_LD_X_decr_r24_X010f_vaa -> passed test_LD_X_decr_r24_X01ff_v55 -> passed test_LD_X_decr_r24_X01ff_vaa -> passed test_LD_X_decr_r25_X010f_v55 -> passed test_LD_X_decr_r25_X010f_vaa -> passed test_LD_X_decr_r25_X01ff_v55 -> passed test_LD_X_decr_r25_X01ff_vaa -> passed test_LD_X_decr_r28_X010f_v55 -> passed test_LD_X_decr_r28_X010f_vaa -> passed test_LD_X_decr_r28_X01ff_v55 -> passed test_LD_X_decr_r28_X01ff_vaa -> passed test_LD_X_decr_r29_X010f_v55 -> passed test_LD_X_decr_r29_X010f_vaa -> passed test_LD_X_decr_r29_X01ff_v55 -> passed test_LD_X_decr_r29_X01ff_vaa -> passed test_LD_X_decr_r30_X010f_v55 -> passed test_LD_X_decr_r30_X010f_vaa -> passed test_LD_X_decr_r30_X01ff_v55 -> passed test_LD_X_decr_r30_X01ff_vaa -> passed test_LD_X_decr_r31_X010f_v55 -> passed test_LD_X_decr_r31_X010f_vaa -> passed test_LD_X_decr_r31_X01ff_v55 -> passed test_LD_X_decr_r31_X01ff_vaa -> passed ---- loading tests from test_NOP module test_NOP -> passed ---- loading tests from test_OR module test_OR_rd00_vd00_rr00_vr00 -> passed test_OR_rd00_vd00_rr04_vr00 -> passed test_OR_rd00_vd00_rr08_vr00 -> passed test_OR_rd00_vd00_rr12_vr00 -> passed test_OR_rd00_vd00_rr16_vr00 -> passed test_OR_rd00_vd00_rr20_vr00 -> passed test_OR_rd00_vd00_rr24_vr00 -> passed test_OR_rd00_vd00_rr28_vr00 -> passed test_OR_rd00_vd01_rr00_vr01 -> passed test_OR_rd00_vd01_rr04_vr02 -> passed test_OR_rd00_vd01_rr08_vr02 -> passed test_OR_rd00_vd01_rr12_vr02 -> passed test_OR_rd00_vd01_rr16_vr02 -> passed test_OR_rd00_vd01_rr20_vr02 -> passed test_OR_rd00_vd01_rr24_vr02 -> passed test_OR_rd00_vd01_rr28_vr02 -> passed test_OR_rd00_vd0f_rr00_vr0f -> passed test_OR_rd00_vd0f_rr04_vr00 -> passed test_OR_rd00_vd0f_rr04_vrf0 -> passed test_OR_rd00_vd0f_rr08_vr00 -> passed test_OR_rd00_vd0f_rr08_vrf0 -> passed test_OR_rd00_vd0f_rr12_vr00 -> passed test_OR_rd00_vd0f_rr12_vrf0 -> passed test_OR_rd00_vd0f_rr16_vr00 -> passed test_OR_rd00_vd0f_rr16_vrf0 -> passed test_OR_rd00_vd0f_rr20_vr00 -> passed test_OR_rd00_vd0f_rr20_vrf0 -> passed test_OR_rd00_vd0f_rr24_vr00 -> passed test_OR_rd00_vd0f_rr24_vrf0 -> passed test_OR_rd00_vd0f_rr28_vr00 -> passed test_OR_rd00_vd0f_rr28_vrf0 -> passed test_OR_rd00_vdfe_rr00_vrfe -> passed test_OR_rd00_vdfe_rr04_vr01 -> passed test_OR_rd00_vdfe_rr08_vr01 -> passed test_OR_rd00_vdfe_rr12_vr01 -> passed test_OR_rd00_vdfe_rr16_vr01 -> passed test_OR_rd00_vdfe_rr20_vr01 -> passed test_OR_rd00_vdfe_rr24_vr01 -> passed test_OR_rd00_vdfe_rr28_vr01 -> passed test_OR_rd00_vdff_rr00_vrff -> passed test_OR_rd00_vdff_rr04_vr00 -> passed test_OR_rd00_vdff_rr08_vr00 -> passed test_OR_rd00_vdff_rr12_vr00 -> passed test_OR_rd00_vdff_rr16_vr00 -> passed test_OR_rd00_vdff_rr20_vr00 -> passed test_OR_rd00_vdff_rr24_vr00 -> passed test_OR_rd00_vdff_rr28_vr00 -> passed test_OR_rd04_vd00_rr00_vr00 -> passed test_OR_rd04_vd00_rr04_vr00 -> passed test_OR_rd04_vd00_rr08_vr00 -> passed test_OR_rd04_vd00_rr12_vr00 -> passed test_OR_rd04_vd00_rr16_vr00 -> passed test_OR_rd04_vd00_rr20_vr00 -> passed test_OR_rd04_vd00_rr24_vr00 -> passed test_OR_rd04_vd00_rr28_vr00 -> passed test_OR_rd04_vd01_rr00_vr02 -> passed test_OR_rd04_vd01_rr04_vr01 -> passed test_OR_rd04_vd01_rr08_vr02 -> passed test_OR_rd04_vd01_rr12_vr02 -> passed test_OR_rd04_vd01_rr16_vr02 -> passed test_OR_rd04_vd01_rr20_vr02 -> passed test_OR_rd04_vd01_rr24_vr02 -> passed test_OR_rd04_vd01_rr28_vr02 -> passed test_OR_rd04_vd0f_rr00_vr00 -> passed test_OR_rd04_vd0f_rr00_vrf0 -> passed test_OR_rd04_vd0f_rr04_vr0f -> passed test_OR_rd04_vd0f_rr08_vr00 -> passed test_OR_rd04_vd0f_rr08_vrf0 -> passed test_OR_rd04_vd0f_rr12_vr00 -> passed test_OR_rd04_vd0f_rr12_vrf0 -> passed test_OR_rd04_vd0f_rr16_vr00 -> passed test_OR_rd04_vd0f_rr16_vrf0 -> passed test_OR_rd04_vd0f_rr20_vr00 -> passed test_OR_rd04_vd0f_rr20_vrf0 -> passed test_OR_rd04_vd0f_rr24_vr00 -> passed test_OR_rd04_vd0f_rr24_vrf0 -> passed test_OR_rd04_vd0f_rr28_vr00 -> passed test_OR_rd04_vd0f_rr28_vrf0 -> passed test_OR_rd04_vdfe_rr00_vr01 -> passed test_OR_rd04_vdfe_rr04_vrfe -> passed test_OR_rd04_vdfe_rr08_vr01 -> passed test_OR_rd04_vdfe_rr12_vr01 -> passed test_OR_rd04_vdfe_rr16_vr01 -> passed test_OR_rd04_vdfe_rr20_vr01 -> passed test_OR_rd04_vdfe_rr24_vr01 -> passed test_OR_rd04_vdfe_rr28_vr01 -> passed test_OR_rd04_vdff_rr00_vr00 -> passed test_OR_rd04_vdff_rr04_vrff -> passed test_OR_rd04_vdff_rr08_vr00 -> passed test_OR_rd04_vdff_rr12_vr00 -> passed test_OR_rd04_vdff_rr16_vr00 -> passed test_OR_rd04_vdff_rr20_vr00 -> passed test_OR_rd04_vdff_rr24_vr00 -> passed test_OR_rd04_vdff_rr28_vr00 -> passed test_OR_rd08_vd00_rr00_vr00 -> passed test_OR_rd08_vd00_rr04_vr00 -> passed test_OR_rd08_vd00_rr08_vr00 -> passed test_OR_rd08_vd00_rr12_vr00 -> passed test_OR_rd08_vd00_rr16_vr00 -> passed test_OR_rd08_vd00_rr20_vr00 -> passed test_OR_rd08_vd00_rr24_vr00 -> passed test_OR_rd08_vd00_rr28_vr00 -> passed test_OR_rd08_vd01_rr00_vr02 -> passed test_OR_rd08_vd01_rr04_vr02 -> passed test_OR_rd08_vd01_rr08_vr01 -> passed test_OR_rd08_vd01_rr12_vr02 -> passed test_OR_rd08_vd01_rr16_vr02 -> passed test_OR_rd08_vd01_rr20_vr02 -> passed test_OR_rd08_vd01_rr24_vr02 -> passed test_OR_rd08_vd01_rr28_vr02 -> passed test_OR_rd08_vd0f_rr00_vr00 -> passed test_OR_rd08_vd0f_rr00_vrf0 -> passed test_OR_rd08_vd0f_rr04_vr00 -> passed test_OR_rd08_vd0f_rr04_vrf0 -> passed test_OR_rd08_vd0f_rr08_vr0f -> passed test_OR_rd08_vd0f_rr12_vr00 -> passed test_OR_rd08_vd0f_rr12_vrf0 -> passed test_OR_rd08_vd0f_rr16_vr00 -> passed test_OR_rd08_vd0f_rr16_vrf0 -> passed test_OR_rd08_vd0f_rr20_vr00 -> passed test_OR_rd08_vd0f_rr20_vrf0 -> passed test_OR_rd08_vd0f_rr24_vr00 -> passed test_OR_rd08_vd0f_rr24_vrf0 -> passed test_OR_rd08_vd0f_rr28_vr00 -> passed test_OR_rd08_vd0f_rr28_vrf0 -> passed test_OR_rd08_vdfe_rr00_vr01 -> passed test_OR_rd08_vdfe_rr04_vr01 -> passed test_OR_rd08_vdfe_rr08_vrfe -> passed test_OR_rd08_vdfe_rr12_vr01 -> passed test_OR_rd08_vdfe_rr16_vr01 -> passed test_OR_rd08_vdfe_rr20_vr01 -> passed test_OR_rd08_vdfe_rr24_vr01 -> passed test_OR_rd08_vdfe_rr28_vr01 -> passed test_OR_rd08_vdff_rr00_vr00 -> passed test_OR_rd08_vdff_rr04_vr00 -> passed test_OR_rd08_vdff_rr08_vrff -> passed test_OR_rd08_vdff_rr12_vr00 -> passed test_OR_rd08_vdff_rr16_vr00 -> passed test_OR_rd08_vdff_rr20_vr00 -> passed test_OR_rd08_vdff_rr24_vr00 -> passed test_OR_rd08_vdff_rr28_vr00 -> passed test_OR_rd12_vd00_rr00_vr00 -> passed test_OR_rd12_vd00_rr04_vr00 -> passed test_OR_rd12_vd00_rr08_vr00 -> passed test_OR_rd12_vd00_rr12_vr00 -> passed test_OR_rd12_vd00_rr16_vr00 -> passed test_OR_rd12_vd00_rr20_vr00 -> passed test_OR_rd12_vd00_rr24_vr00 -> passed test_OR_rd12_vd00_rr28_vr00 -> passed test_OR_rd12_vd01_rr00_vr02 -> passed test_OR_rd12_vd01_rr04_vr02 -> passed test_OR_rd12_vd01_rr08_vr02 -> passed test_OR_rd12_vd01_rr12_vr01 -> passed test_OR_rd12_vd01_rr16_vr02 -> passed test_OR_rd12_vd01_rr20_vr02 -> passed test_OR_rd12_vd01_rr24_vr02 -> passed test_OR_rd12_vd01_rr28_vr02 -> passed test_OR_rd12_vd0f_rr00_vr00 -> passed test_OR_rd12_vd0f_rr00_vrf0 -> passed test_OR_rd12_vd0f_rr04_vr00 -> passed test_OR_rd12_vd0f_rr04_vrf0 -> passed test_OR_rd12_vd0f_rr08_vr00 -> passed test_OR_rd12_vd0f_rr08_vrf0 -> passed test_OR_rd12_vd0f_rr12_vr0f -> passed test_OR_rd12_vd0f_rr16_vr00 -> passed test_OR_rd12_vd0f_rr16_vrf0 -> passed test_OR_rd12_vd0f_rr20_vr00 -> passed test_OR_rd12_vd0f_rr20_vrf0 -> passed test_OR_rd12_vd0f_rr24_vr00 -> passed test_OR_rd12_vd0f_rr24_vrf0 -> passed test_OR_rd12_vd0f_rr28_vr00 -> passed test_OR_rd12_vd0f_rr28_vrf0 -> passed test_OR_rd12_vdfe_rr00_vr01 -> passed test_OR_rd12_vdfe_rr04_vr01 -> passed test_OR_rd12_vdfe_rr08_vr01 -> passed test_OR_rd12_vdfe_rr12_vrfe -> passed test_OR_rd12_vdfe_rr16_vr01 -> passed test_OR_rd12_vdfe_rr20_vr01 -> passed test_OR_rd12_vdfe_rr24_vr01 -> passed test_OR_rd12_vdfe_rr28_vr01 -> passed test_OR_rd12_vdff_rr00_vr00 -> passed test_OR_rd12_vdff_rr04_vr00 -> passed test_OR_rd12_vdff_rr08_vr00 -> passed test_OR_rd12_vdff_rr12_vrff -> passed test_OR_rd12_vdff_rr16_vr00 -> passed test_OR_rd12_vdff_rr20_vr00 -> passed test_OR_rd12_vdff_rr24_vr00 -> passed test_OR_rd12_vdff_rr28_vr00 -> passed test_OR_rd16_vd00_rr00_vr00 -> passed test_OR_rd16_vd00_rr04_vr00 -> passed test_OR_rd16_vd00_rr08_vr00 -> passed test_OR_rd16_vd00_rr12_vr00 -> passed test_OR_rd16_vd00_rr16_vr00 -> passed test_OR_rd16_vd00_rr20_vr00 -> passed test_OR_rd16_vd00_rr24_vr00 -> passed test_OR_rd16_vd00_rr28_vr00 -> passed test_OR_rd16_vd01_rr00_vr02 -> passed test_OR_rd16_vd01_rr04_vr02 -> passed test_OR_rd16_vd01_rr08_vr02 -> passed test_OR_rd16_vd01_rr12_vr02 -> passed test_OR_rd16_vd01_rr16_vr01 -> passed test_OR_rd16_vd01_rr20_vr02 -> passed test_OR_rd16_vd01_rr24_vr02 -> passed test_OR_rd16_vd01_rr28_vr02 -> passed test_OR_rd16_vd0f_rr00_vr00 -> passed test_OR_rd16_vd0f_rr00_vrf0 -> passed test_OR_rd16_vd0f_rr04_vr00 -> passed test_OR_rd16_vd0f_rr04_vrf0 -> passed test_OR_rd16_vd0f_rr08_vr00 -> passed test_OR_rd16_vd0f_rr08_vrf0 -> passed test_OR_rd16_vd0f_rr12_vr00 -> passed test_OR_rd16_vd0f_rr12_vrf0 -> passed test_OR_rd16_vd0f_rr16_vr0f -> passed test_OR_rd16_vd0f_rr20_vr00 -> passed test_OR_rd16_vd0f_rr20_vrf0 -> passed test_OR_rd16_vd0f_rr24_vr00 -> passed test_OR_rd16_vd0f_rr24_vrf0 -> passed test_OR_rd16_vd0f_rr28_vr00 -> passed test_OR_rd16_vd0f_rr28_vrf0 -> passed test_OR_rd16_vdfe_rr00_vr01 -> passed test_OR_rd16_vdfe_rr04_vr01 -> passed test_OR_rd16_vdfe_rr08_vr01 -> passed test_OR_rd16_vdfe_rr12_vr01 -> passed test_OR_rd16_vdfe_rr16_vrfe -> passed test_OR_rd16_vdfe_rr20_vr01 -> passed test_OR_rd16_vdfe_rr24_vr01 -> passed test_OR_rd16_vdfe_rr28_vr01 -> passed test_OR_rd16_vdff_rr00_vr00 -> passed test_OR_rd16_vdff_rr04_vr00 -> passed test_OR_rd16_vdff_rr08_vr00 -> passed test_OR_rd16_vdff_rr12_vr00 -> passed test_OR_rd16_vdff_rr16_vrff -> passed test_OR_rd16_vdff_rr20_vr00 -> passed test_OR_rd16_vdff_rr24_vr00 -> passed test_OR_rd16_vdff_rr28_vr00 -> passed test_OR_rd20_vd00_rr00_vr00 -> passed test_OR_rd20_vd00_rr04_vr00 -> passed test_OR_rd20_vd00_rr08_vr00 -> passed test_OR_rd20_vd00_rr12_vr00 -> passed test_OR_rd20_vd00_rr16_vr00 -> passed test_OR_rd20_vd00_rr20_vr00 -> passed test_OR_rd20_vd00_rr24_vr00 -> passed test_OR_rd20_vd00_rr28_vr00 -> passed test_OR_rd20_vd01_rr00_vr02 -> passed test_OR_rd20_vd01_rr04_vr02 -> passed test_OR_rd20_vd01_rr08_vr02 -> passed test_OR_rd20_vd01_rr12_vr02 -> passed test_OR_rd20_vd01_rr16_vr02 -> passed test_OR_rd20_vd01_rr20_vr01 -> passed test_OR_rd20_vd01_rr24_vr02 -> passed test_OR_rd20_vd01_rr28_vr02 -> passed test_OR_rd20_vd0f_rr00_vr00 -> passed test_OR_rd20_vd0f_rr00_vrf0 -> passed test_OR_rd20_vd0f_rr04_vr00 -> passed test_OR_rd20_vd0f_rr04_vrf0 -> passed test_OR_rd20_vd0f_rr08_vr00 -> passed test_OR_rd20_vd0f_rr08_vrf0 -> passed test_OR_rd20_vd0f_rr12_vr00 -> passed test_OR_rd20_vd0f_rr12_vrf0 -> passed test_OR_rd20_vd0f_rr16_vr00 -> passed test_OR_rd20_vd0f_rr16_vrf0 -> passed test_OR_rd20_vd0f_rr20_vr0f -> passed test_OR_rd20_vd0f_rr24_vr00 -> passed test_OR_rd20_vd0f_rr24_vrf0 -> passed test_OR_rd20_vd0f_rr28_vr00 -> passed test_OR_rd20_vd0f_rr28_vrf0 -> passed test_OR_rd20_vdfe_rr00_vr01 -> passed test_OR_rd20_vdfe_rr04_vr01 -> passed test_OR_rd20_vdfe_rr08_vr01 -> passed test_OR_rd20_vdfe_rr12_vr01 -> passed test_OR_rd20_vdfe_rr16_vr01 -> passed test_OR_rd20_vdfe_rr20_vrfe -> passed test_OR_rd20_vdfe_rr24_vr01 -> passed test_OR_rd20_vdfe_rr28_vr01 -> passed test_OR_rd20_vdff_rr00_vr00 -> passed test_OR_rd20_vdff_rr04_vr00 -> passed test_OR_rd20_vdff_rr08_vr00 -> passed test_OR_rd20_vdff_rr12_vr00 -> passed test_OR_rd20_vdff_rr16_vr00 -> passed test_OR_rd20_vdff_rr20_vrff -> passed test_OR_rd20_vdff_rr24_vr00 -> passed test_OR_rd20_vdff_rr28_vr00 -> passed test_OR_rd24_vd00_rr00_vr00 -> passed test_OR_rd24_vd00_rr04_vr00 -> passed test_OR_rd24_vd00_rr08_vr00 -> passed test_OR_rd24_vd00_rr12_vr00 -> passed test_OR_rd24_vd00_rr16_vr00 -> passed test_OR_rd24_vd00_rr20_vr00 -> passed test_OR_rd24_vd00_rr24_vr00 -> passed test_OR_rd24_vd00_rr28_vr00 -> passed test_OR_rd24_vd01_rr00_vr02 -> passed test_OR_rd24_vd01_rr04_vr02 -> passed test_OR_rd24_vd01_rr08_vr02 -> passed test_OR_rd24_vd01_rr12_vr02 -> passed test_OR_rd24_vd01_rr16_vr02 -> passed test_OR_rd24_vd01_rr20_vr02 -> passed test_OR_rd24_vd01_rr24_vr01 -> passed test_OR_rd24_vd01_rr28_vr02 -> passed test_OR_rd24_vd0f_rr00_vr00 -> passed test_OR_rd24_vd0f_rr00_vrf0 -> passed test_OR_rd24_vd0f_rr04_vr00 -> passed test_OR_rd24_vd0f_rr04_vrf0 -> passed test_OR_rd24_vd0f_rr08_vr00 -> passed test_OR_rd24_vd0f_rr08_vrf0 -> passed test_OR_rd24_vd0f_rr12_vr00 -> passed test_OR_rd24_vd0f_rr12_vrf0 -> passed test_OR_rd24_vd0f_rr16_vr00 -> passed test_OR_rd24_vd0f_rr16_vrf0 -> passed test_OR_rd24_vd0f_rr20_vr00 -> passed test_OR_rd24_vd0f_rr20_vrf0 -> passed test_OR_rd24_vd0f_rr24_vr0f -> passed test_OR_rd24_vd0f_rr28_vr00 -> passed test_OR_rd24_vd0f_rr28_vrf0 -> passed test_OR_rd24_vdfe_rr00_vr01 -> passed test_OR_rd24_vdfe_rr04_vr01 -> passed test_OR_rd24_vdfe_rr08_vr01 -> passed test_OR_rd24_vdfe_rr12_vr01 -> passed test_OR_rd24_vdfe_rr16_vr01 -> passed test_OR_rd24_vdfe_rr20_vr01 -> passed test_OR_rd24_vdfe_rr24_vrfe -> passed test_OR_rd24_vdfe_rr28_vr01 -> passed test_OR_rd24_vdff_rr00_vr00 -> passed test_OR_rd24_vdff_rr04_vr00 -> passed test_OR_rd24_vdff_rr08_vr00 -> passed test_OR_rd24_vdff_rr12_vr00 -> passed test_OR_rd24_vdff_rr16_vr00 -> passed test_OR_rd24_vdff_rr20_vr00 -> passed test_OR_rd24_vdff_rr24_vrff -> passed test_OR_rd24_vdff_rr28_vr00 -> passed test_OR_rd28_vd00_rr00_vr00 -> passed test_OR_rd28_vd00_rr04_vr00 -> passed test_OR_rd28_vd00_rr08_vr00 -> passed test_OR_rd28_vd00_rr12_vr00 -> passed test_OR_rd28_vd00_rr16_vr00 -> passed test_OR_rd28_vd00_rr20_vr00 -> passed test_OR_rd28_vd00_rr24_vr00 -> passed test_OR_rd28_vd00_rr28_vr00 -> passed test_OR_rd28_vd01_rr00_vr02 -> passed test_OR_rd28_vd01_rr04_vr02 -> passed test_OR_rd28_vd01_rr08_vr02 -> passed test_OR_rd28_vd01_rr12_vr02 -> passed test_OR_rd28_vd01_rr16_vr02 -> passed test_OR_rd28_vd01_rr20_vr02 -> passed test_OR_rd28_vd01_rr24_vr02 -> passed test_OR_rd28_vd01_rr28_vr01 -> passed test_OR_rd28_vd0f_rr00_vr00 -> passed test_OR_rd28_vd0f_rr00_vrf0 -> passed test_OR_rd28_vd0f_rr04_vr00 -> passed test_OR_rd28_vd0f_rr04_vrf0 -> passed test_OR_rd28_vd0f_rr08_vr00 -> passed test_OR_rd28_vd0f_rr08_vrf0 -> passed test_OR_rd28_vd0f_rr12_vr00 -> passed test_OR_rd28_vd0f_rr12_vrf0 -> passed test_OR_rd28_vd0f_rr16_vr00 -> passed test_OR_rd28_vd0f_rr16_vrf0 -> passed test_OR_rd28_vd0f_rr20_vr00 -> passed test_OR_rd28_vd0f_rr20_vrf0 -> passed test_OR_rd28_vd0f_rr24_vr00 -> passed test_OR_rd28_vd0f_rr24_vrf0 -> passed test_OR_rd28_vd0f_rr28_vr0f -> passed test_OR_rd28_vdfe_rr00_vr01 -> passed test_OR_rd28_vdfe_rr04_vr01 -> passed test_OR_rd28_vdfe_rr08_vr01 -> passed test_OR_rd28_vdfe_rr12_vr01 -> passed test_OR_rd28_vdfe_rr16_vr01 -> passed test_OR_rd28_vdfe_rr20_vr01 -> passed test_OR_rd28_vdfe_rr24_vr01 -> passed test_OR_rd28_vdfe_rr28_vrfe -> passed test_OR_rd28_vdff_rr00_vr00 -> passed test_OR_rd28_vdff_rr04_vr00 -> passed test_OR_rd28_vdff_rr08_vr00 -> passed test_OR_rd28_vdff_rr12_vr00 -> passed test_OR_rd28_vdff_rr16_vr00 -> passed test_OR_rd28_vdff_rr20_vr00 -> passed test_OR_rd28_vdff_rr24_vr00 -> passed test_OR_rd28_vdff_rr28_vrff -> passed ---- loading tests from test_LD_X module test_LD_X_r00_X010f_v55 -> passed test_LD_X_r00_X010f_vaa -> passed test_LD_X_r00_X01ff_v55 -> passed test_LD_X_r00_X01ff_vaa -> passed test_LD_X_r01_X010f_v55 -> passed test_LD_X_r01_X010f_vaa -> passed test_LD_X_r01_X01ff_v55 -> passed test_LD_X_r01_X01ff_vaa -> passed test_LD_X_r02_X010f_v55 -> passed test_LD_X_r02_X010f_vaa -> passed test_LD_X_r02_X01ff_v55 -> passed test_LD_X_r02_X01ff_vaa -> passed test_LD_X_r03_X010f_v55 -> passed test_LD_X_r03_X010f_vaa -> passed test_LD_X_r03_X01ff_v55 -> passed test_LD_X_r03_X01ff_vaa -> passed test_LD_X_r04_X010f_v55 -> passed test_LD_X_r04_X010f_vaa -> passed test_LD_X_r04_X01ff_v55 -> passed test_LD_X_r04_X01ff_vaa -> passed test_LD_X_r05_X010f_v55 -> passed test_LD_X_r05_X010f_vaa -> passed test_LD_X_r05_X01ff_v55 -> passed test_LD_X_r05_X01ff_vaa -> passed test_LD_X_r06_X010f_v55 -> passed test_LD_X_r06_X010f_vaa -> passed test_LD_X_r06_X01ff_v55 -> passed test_LD_X_r06_X01ff_vaa -> passed test_LD_X_r07_X010f_v55 -> passed test_LD_X_r07_X010f_vaa -> passed test_LD_X_r07_X01ff_v55 -> passed test_LD_X_r07_X01ff_vaa -> passed test_LD_X_r08_X010f_v55 -> passed test_LD_X_r08_X010f_vaa -> passed test_LD_X_r08_X01ff_v55 -> passed test_LD_X_r08_X01ff_vaa -> passed test_LD_X_r09_X010f_v55 -> passed test_LD_X_r09_X010f_vaa -> passed test_LD_X_r09_X01ff_v55 -> passed test_LD_X_r09_X01ff_vaa -> passed test_LD_X_r10_X010f_v55 -> passed test_LD_X_r10_X010f_vaa -> passed test_LD_X_r10_X01ff_v55 -> passed test_LD_X_r10_X01ff_vaa -> passed test_LD_X_r11_X010f_v55 -> passed test_LD_X_r11_X010f_vaa -> passed test_LD_X_r11_X01ff_v55 -> passed test_LD_X_r11_X01ff_vaa -> passed test_LD_X_r12_X010f_v55 -> passed test_LD_X_r12_X010f_vaa -> passed test_LD_X_r12_X01ff_v55 -> passed test_LD_X_r12_X01ff_vaa -> passed test_LD_X_r13_X010f_v55 -> passed test_LD_X_r13_X010f_vaa -> passed test_LD_X_r13_X01ff_v55 -> passed test_LD_X_r13_X01ff_vaa -> passed test_LD_X_r14_X010f_v55 -> passed test_LD_X_r14_X010f_vaa -> passed test_LD_X_r14_X01ff_v55 -> passed test_LD_X_r14_X01ff_vaa -> passed test_LD_X_r15_X010f_v55 -> passed test_LD_X_r15_X010f_vaa -> passed test_LD_X_r15_X01ff_v55 -> passed test_LD_X_r15_X01ff_vaa -> passed test_LD_X_r16_X010f_v55 -> passed test_LD_X_r16_X010f_vaa -> passed test_LD_X_r16_X01ff_v55 -> passed test_LD_X_r16_X01ff_vaa -> passed test_LD_X_r17_X010f_v55 -> passed test_LD_X_r17_X010f_vaa -> passed test_LD_X_r17_X01ff_v55 -> passed test_LD_X_r17_X01ff_vaa -> passed test_LD_X_r18_X010f_v55 -> passed test_LD_X_r18_X010f_vaa -> passed test_LD_X_r18_X01ff_v55 -> passed test_LD_X_r18_X01ff_vaa -> passed test_LD_X_r19_X010f_v55 -> passed test_LD_X_r19_X010f_vaa -> passed test_LD_X_r19_X01ff_v55 -> passed test_LD_X_r19_X01ff_vaa -> passed test_LD_X_r20_X010f_v55 -> passed test_LD_X_r20_X010f_vaa -> passed test_LD_X_r20_X01ff_v55 -> passed test_LD_X_r20_X01ff_vaa -> passed test_LD_X_r21_X010f_v55 -> passed test_LD_X_r21_X010f_vaa -> passed test_LD_X_r21_X01ff_v55 -> passed test_LD_X_r21_X01ff_vaa -> passed test_LD_X_r22_X010f_v55 -> passed test_LD_X_r22_X010f_vaa -> passed test_LD_X_r22_X01ff_v55 -> passed test_LD_X_r22_X01ff_vaa -> passed test_LD_X_r23_X010f_v55 -> passed test_LD_X_r23_X010f_vaa -> passed test_LD_X_r23_X01ff_v55 -> passed test_LD_X_r23_X01ff_vaa -> passed test_LD_X_r24_X010f_v55 -> passed test_LD_X_r24_X010f_vaa -> passed test_LD_X_r24_X01ff_v55 -> passed test_LD_X_r24_X01ff_vaa -> passed test_LD_X_r25_X010f_v55 -> passed test_LD_X_r25_X010f_vaa -> passed test_LD_X_r25_X01ff_v55 -> passed test_LD_X_r25_X01ff_vaa -> passed test_LD_X_r26_X010f_v55 -> passed test_LD_X_r26_X010f_vaa -> passed test_LD_X_r26_X01ff_v55 -> passed test_LD_X_r26_X01ff_vaa -> passed test_LD_X_r27_X010f_v55 -> passed test_LD_X_r27_X010f_vaa -> passed test_LD_X_r27_X01ff_v55 -> passed test_LD_X_r27_X01ff_vaa -> passed test_LD_X_r28_X010f_v55 -> passed test_LD_X_r28_X010f_vaa -> passed test_LD_X_r28_X01ff_v55 -> passed test_LD_X_r28_X01ff_vaa -> passed test_LD_X_r29_X010f_v55 -> passed test_LD_X_r29_X010f_vaa -> passed test_LD_X_r29_X01ff_v55 -> passed test_LD_X_r29_X01ff_vaa -> passed test_LD_X_r30_X010f_v55 -> passed test_LD_X_r30_X010f_vaa -> passed test_LD_X_r30_X01ff_v55 -> passed test_LD_X_r30_X01ff_vaa -> passed test_LD_X_r31_X010f_v55 -> passed test_LD_X_r31_X010f_vaa -> passed test_LD_X_r31_X01ff_v55 -> passed test_LD_X_r31_X01ff_vaa -> passed ---- loading tests from test_RET module test_RET_old_000000_new_000000 -> passed test_RET_old_000000_new_000001 -> passed test_RET_old_000000_new_000002 -> passed test_RET_old_000000_new_000003 -> passed test_RET_old_000000_new_0000ff -> passed test_RET_old_000000_new_000100 -> passed test_RET_old_000000_new_000fff -> passed test_RET_old_0000ff_new_000000 -> passed test_RET_old_0000ff_new_000001 -> passed test_RET_old_0000ff_new_000002 -> passed test_RET_old_0000ff_new_000003 -> passed test_RET_old_0000ff_new_0000ff -> passed test_RET_old_0000ff_new_000100 -> passed test_RET_old_0000ff_new_000fff -> passed test_RET_old_000100_new_000000 -> passed test_RET_old_000100_new_000001 -> passed test_RET_old_000100_new_000002 -> passed test_RET_old_000100_new_000003 -> passed test_RET_old_000100_new_0000ff -> passed test_RET_old_000100_new_000100 -> passed test_RET_old_000100_new_000fff -> passed test_RET_old_000fff_new_000000 -> passed test_RET_old_000fff_new_000001 -> passed test_RET_old_000fff_new_000002 -> passed test_RET_old_000fff_new_000003 -> passed test_RET_old_000fff_new_0000ff -> passed test_RET_old_000fff_new_000100 -> passed test_RET_old_000fff_new_000fff -> passed ---- loading tests from test_RJMP module make[3]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[2]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6/regress' make[1]: Entering directory `/builddir/build/BUILD/simulavr-0.1.2.6' make[1]: Nothing to be done for `check-am'. make[1]: Leaving directory `/builddir/build/BUILD/simulavr-0.1.2.6' + exit 0 Processing files: simulavr-0.1.2.6-8.fc15.i686 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.klPWk2 + umask 022 + cd /builddir/build/BUILD + cd simulavr-0.1.2.6 + DOCDIR=/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 + export DOCDIR + rm -rf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 + /bin/mkdir -p /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 + cp -pr AUTHORS COPYING /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 + cp -pr ChangeLog ChangeLog-2001 ChangeLog-2002 ChangeLog-2003 /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 + cp -pr ProjSummary README README.gdb README.opcodes TODO /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-0.1.2.6 + exit 0 Requires(interp): /bin/sh /bin/sh Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires(post): /bin/sh Requires(preun): /bin/sh Requires: libc.so.6 libc.so.6(GLIBC_2.0) libc.so.6(GLIBC_2.1) libc.so.6(GLIBC_2.1.3) libc.so.6(GLIBC_2.3) libc.so.6(GLIBC_2.3.4) libc.so.6(GLIBC_2.4) libc.so.6(GLIBC_2.7) libncurses.so.5 libtinfo.so.5 rtld(GNU_HASH) Processing files: simulavr-doc-0.1.2.6-8.fc15.noarch Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.v9HWFc + umask 022 + cd /builddir/build/BUILD + cd simulavr-0.1.2.6 + DOCDIR=/builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + export DOCDIR + rm -rf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + /bin/mkdir -p /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + cp -pr COPYING /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + cp -pr docdir/html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + cp -pr docdir/internals_html /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + cp -pr docdir/simulavr-internals.pdf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + cp -pr docdir/simulavr.pdf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386/usr/share/doc/simulavr-doc-0.1.2.6 + exit 0 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: simulavr-debuginfo-0.1.2.6-8.fc15.i686 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 warning: Could not canonicalize hostname: x86-13.phx2.fedoraproject.org Wrote: /builddir/build/RPMS/simulavr-0.1.2.6-8.fc15.i686.rpm Wrote: /builddir/build/RPMS/simulavr-doc-0.1.2.6-8.fc15.noarch.rpm Wrote: /builddir/build/RPMS/simulavr-debuginfo-0.1.2.6-8.fc15.i686.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.xkIYF3 + umask 022 + cd /builddir/build/BUILD + cd simulavr-0.1.2.6 + rm -rf /builddir/build/BUILDROOT/simulavr-0.1.2.6-8.fc15.i386 + exit 0 Child returncode was: 0 LEAVE do -->