Mock Version: 2.1 Mock Version: 2.1 Mock Version: 2.1 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target i686 --nodeps /builddir/build/SPECS/yosys.spec'], chrootPath='/var/lib/mock/f33-build-20411372-1463730/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target i686 --nodeps /builddir/build/SPECS/yosys.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'} and shell False Building target platforms: i686 Building for target i686 setting SOURCE_DATE_EPOCH=1587600000 Wrote: /builddir/build/SRPMS/yosys-0.9-4.fc33.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target i686 --nodeps /builddir/build/SPECS/yosys.spec'], chrootPath='/var/lib/mock/f33-build-20411372-1463730/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target i686 --nodeps /builddir/build/SPECS/yosys.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'} and shell False Building target platforms: i686 Building for target i686 setting SOURCE_DATE_EPOCH=1587600000 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.ZDRskr + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf yosys-yosys-0.9 + /usr/bin/gzip -dc /builddir/build/SOURCES/yosys-0.9.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd yosys-yosys-0.9 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . Patch #1 (yosys-cfginc.patch): + echo 'Patch #1 (yosys-cfginc.patch):' + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .cfginc --fuzz=0 patching file Makefile Hunk #1 succeeded at 628 (offset 108 lines). + echo 'Patch #2 (yosys-mancfginc.patch):' Patch #2 (yosys-mancfginc.patch): + /usr/bin/patch --no-backup-if-mismatch -p1 -b --suffix .mancfginc --fuzz=0 patching file manual/CHAPTER_Prog/Makefile patching file manual/PRESENTATION_Prog/Makefile + cp /builddir/build/SOURCES/viz.js . + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + /usr/bin/xz -dc /builddir/build/SOURCES/yosys_0.9-1.debian.tar.xz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . ++ find . -name '*.py' + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/edif/runtest.py + touch -r ./backends/edif/runtest.py ./backends/edif/runtest.py.new + mv ./backends/edif/runtest.py.new ./backends/edif/runtest.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtbmc.py + touch -r ./backends/smt2/smtbmc.py ./backends/smt2/smtbmc.py.new + mv ./backends/smt2/smtbmc.py.new ./backends/smt2/smtbmc.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./backends/smt2/smtio.py + touch -r ./backends/smt2/smtio.py ./backends/smt2/smtio.py.new + mv ./backends/smt2/smtio.py.new ./backends/smt2/smtio.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/pass.py + touch -r ./examples/python-api/pass.py ./examples/python-api/pass.py.new + mv ./examples/python-api/pass.py.new ./examples/python-api/pass.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./examples/python-api/script.py + touch -r ./examples/python-api/script.py ./examples/python-api/script.py.new + mv ./examples/python-api/script.py.new ./examples/python-api/script.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/__init__.py + touch -r ./misc/__init__.py ./misc/__init__.py.new + mv ./misc/__init__.py.new ./misc/__init__.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./misc/py_wrap_generator.py + touch -r ./misc/py_wrap_generator.py ./misc/py_wrap_generator.py.new + mv ./misc/py_wrap_generator.py.new ./misc/py_wrap_generator.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./passes/pmgen/pmgen.py + touch -r ./passes/pmgen/pmgen.py ./passes/pmgen/pmgen.py.new + mv ./passes/pmgen/pmgen.py.new ./passes/pmgen/pmgen.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/common/cellhelp.py + touch -r ./techlibs/common/cellhelp.py ./techlibs/common/cellhelp.py.new + mv ./techlibs/common/cellhelp.py.new ./techlibs/common/cellhelp.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/brams_connect.py + touch -r ./techlibs/ecp5/brams_connect.py ./techlibs/ecp5/brams_connect.py.new + mv ./techlibs/ecp5/brams_connect.py.new ./techlibs/ecp5/brams_connect.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ecp5/brams_init.py + touch -r ./techlibs/ecp5/brams_init.py ./techlibs/ecp5/brams_init.py.new + mv ./techlibs/ecp5/brams_init.py.new ./techlibs/ecp5/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/ice40/brams_init.py + touch -r ./techlibs/ice40/brams_init.py ./techlibs/ice40/brams_init.py.new + mv ./techlibs/ice40/brams_init.py.new ./techlibs/ice40/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./techlibs/xilinx/brams_init.py + touch -r ./techlibs/xilinx/brams_init.py ./techlibs/xilinx/brams_init.py.new + mv ./techlibs/xilinx/brams_init.py.new ./techlibs/xilinx/brams_init.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/bram/generate.py + touch -r ./tests/bram/generate.py ./tests/bram/generate.py.new + mv ./tests/bram/generate.py.new ./tests/bram/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/fsm/generate.py + touch -r ./tests/fsm/generate.py ./tests/fsm/generate.py.new + mv ./tests/fsm/generate.py.new ./tests/fsm/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/realmath/generate.py + touch -r ./tests/realmath/generate.py ./tests/realmath/generate.py.new + mv ./tests/realmath/generate.py.new ./tests/realmath/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/share/generate.py + touch -r ./tests/share/generate.py ./tests/share/generate.py.new + mv ./tests/share/generate.py.new ./tests/share/generate.py + for f in `find . -name \*.py` + sed 's|/usr/bin/env python3|/usr/bin/python3|' ./tests/tools/txt2tikztiming.py + touch -r ./tests/tools/txt2tikztiming.py ./tests/tools/txt2tikztiming.py.new + mv ./tests/tools/txt2tikztiming.py.new ./tests/tools/txt2tikztiming.py + make config-gcc /bin/sh: clang: command not found rm -rf share rm -rf kernel/*.pyh if test -d manual; then cd manual && sh clean.sh; fi find ./ -name '*.aux' | xargs rm -f find ./ -name '*.bbl' | xargs rm -f find ./ -name '*.blg' | xargs rm -f find ./ -name '*.idx' | xargs rm -f find ./ -name '*.log' | xargs rm -f find ./ -name '*.out' | xargs rm -f find ./ -name '*.pdf' | xargs rm -f find ./ -name '*.toc' | xargs rm -f find ./ -name '*.snm' | xargs rm -f find ./ -name '*.nav' | xargs rm -f find ./ -name '*.vrb' | xargs rm -f find ./ -name '*.ok' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.so' | xargs rm -f find ./CHAPTER_Prog/ -name 'stubnets.d' | xargs rm -f find ./CHAPTER_Prog/ -name '*.log' | xargs rm -f find ./PRESENTATION_ExAdv/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExOth/ -name '*.dot' | xargs rm -f find ./PRESENTATION_ExSyn/ -name '*.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_00.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_01.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_02.dot' | xargs rm -f find ./PRESENTATION_Intro/ -name 'counter_03.dot' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.so' | xargs rm -f find ./PRESENTATION_Prog/ -name 'my_cmd.d' | xargs rm -f rm -f kernel/version_UNKNOWN.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o frontends/ilang/ilang_parser.tab.cc frontends/ilang/ilang_parser.tab.hh frontends/ilang/ilang_parser.output frontends/ilang/ilang_lexer.cc frontends/verilog/verilog_parser.tab.cc frontends/verilog/verilog_parser.tab.hh frontends/verilog/verilog_parser.output frontends/verilog/verilog_lexer.cc passes/techmap/techmap.inc techlibs/common/simlib_help.inc techlibs/common/simcells_help.inc techlibs/ice40/brams_init1.vh techlibs/ice40/brams_init2.vh techlibs/ice40/brams_init3.vh techlibs/xilinx/brams_init_36.vh techlibs/xilinx/brams_init_32.vh techlibs/xilinx/brams_init_18.vh techlibs/xilinx/brams_init_16.vh yosys yosys-config yosys-abc yosys-filterlib yosys-smtbmc share/include/kernel/yosys.h share/include/kernel/hashlib.h share/include/kernel/log.h share/include/kernel/rtlil.h share/include/kernel/register.h share/include/kernel/celltypes.h share/include/kernel/celledges.h share/include/kernel/consteval.h share/include/kernel/sigtools.h share/include/kernel/modtools.h share/include/kernel/macc.h share/include/kernel/utils.h share/include/kernel/satgen.h share/include/libs/ezsat/ezsat.h share/include/libs/ezsat/ezminisat.h share/include/libs/sha1/sha1.h share/include/passes/fsm/fsmdata.h share/include/frontends/ast/ast.h share/include/backends/ilang/ilang_backend.h share/python3/smtio.py share/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_map.v share/anlogic/cells_map.v share/anlogic/arith_map.v share/anlogic/cells_sim.v share/anlogic/eagle_bb.v share/anlogic/drams.txt share/anlogic/drams_map.v share/anlogic/dram_init_16x4.vh share/simlib.v share/simcells.v share/techmap.v share/pmux2mux.v share/adff2dff.v share/dff2ff.v share/gate2lut.v share/cmp2lut.v share/cells.lib share/coolrunner2/cells_latch.v share/coolrunner2/cells_sim.v share/coolrunner2/tff_extract.v share/coolrunner2/xc2_dff.lib share/ecp5/cells_map.v share/ecp5/cells_sim.v share/ecp5/cells_bb.v share/ecp5/drams_map.v share/ecp5/dram.txt share/ecp5/brams_map.v share/ecp5/bram.txt share/ecp5/arith_map.v share/ecp5/latches_map.v share/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_9_18_36.vh share/ecp5/bram_conn_1.vh share/ecp5/bram_conn_2.vh share/ecp5/bram_conn_4.vh share/ecp5/bram_conn_9.vh share/ecp5/bram_conn_18.vh share/gowin/cells_map.v share/gowin/cells_sim.v share/gowin/arith_map.v share/gowin/brams_map.v share/gowin/bram.txt share/gowin/drams_map.v share/gowin/dram.txt share/gowin/brams_init3.vh share/greenpak4/cells_blackbox.v share/greenpak4/cells_latch.v share/greenpak4/cells_map.v share/greenpak4/cells_sim.v share/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_wip.v share/greenpak4/gp_dff.lib share/ice40/arith_map.v share/ice40/cells_map.v share/ice40/cells_sim.v share/ice40/latches_map.v share/ice40/brams.txt share/ice40/brams_map.v share/ice40/brams_init1.vh share/ice40/brams_init2.vh share/ice40/brams_init3.vh share/intel/common/m9k_bb.v share/intel/common/altpll_bb.v share/intel/common/brams.txt share/intel/common/brams_map.v share/intel/max10/cells_sim.v share/intel/a10gx/cells_sim.v share/intel/cyclonev/cells_sim.v share/intel/cyclone10/cells_sim.v share/intel/cycloneiv/cells_sim.v share/intel/cycloneive/cells_sim.v share/intel/max10/cells_map.v share/intel/a10gx/cells_map.v share/intel/cyclonev/cells_map.v share/intel/cyclone10/cells_map.v share/intel/cycloneiv/cells_map.v share/intel/cycloneive/cells_map.v share/sf2/arith_map.v share/sf2/cells_map.v share/sf2/cells_sim.v share/xilinx/cells_map.v share/xilinx/cells_sim.v share/xilinx/cells_xtra.v share/xilinx/brams.txt share/xilinx/brams_map.v share/xilinx/brams_bb.v share/xilinx/drams.txt share/xilinx/drams_map.v share/xilinx/arith_map.v share/xilinx/ff_map.v share/xilinx/lut_map.v share/xilinx/brams_init_36.vh share/xilinx/brams_init_32.vh share/xilinx/brams_init_18.vh share/xilinx/brams_init_16.vh passes/pmgen/ice40_dsp_pm.h passes/pmgen/peepopt_pm.h passes/techmap/filterlib.o techlibs/ecp5/brams_init.mk techlibs/ecp5/brams_connect.mk techlibs/ice40/brams_init.mk techlibs/xilinx/brams_init.mk .cc rm -f kernel/version_*.o kernel/version_*.cc abc/abc-[0-9a-f]* abc/libabc-[0-9a-f]*.a rm -f libs/*/*.d frontends/*/*.d passes/*/*.d backends/*/*.d kernel/*.d techlibs/*/*.d rm -rf tests/asicworld/*.out tests/asicworld/*.log rm -rf tests/hana/*.out tests/hana/*.log rm -rf tests/simple/*.out tests/simple/*.log rm -rf tests/memories/*.out tests/memories/*.log tests/memories/*.dmp rm -rf tests/sat/*.log tests/techmap/*.log tests/various/*.log rm -rf tests/bram/temp tests/fsm/temp tests/realmath/temp tests/share/temp tests/smv/temp rm -rf vloghtb/Makefile vloghtb/refdat vloghtb/rtl vloghtb/scripts vloghtb/spec vloghtb/check_yosys vloghtb/vloghammer_tb.tar.bz2 vloghtb/temp vloghtb/log_test_* rm -f tests/svinterfaces/*.log_stdout tests/svinterfaces/*.log_stderr tests/svinterfaces/dut_result.txt tests/svinterfaces/reference_result.txt tests/svinterfaces/a.out tests/svinterfaces/*_syn.v tests/svinterfaces/*.diff rm -f tests/tools/cmp_tbdata echo 'CONFIG := gcc' > Makefile.conf ++ find manual -name '*.tex' -exec grep -l '{luximono}' '{}' ';' + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_010_Verilog_to_BLIF.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_011_Design_Investigation.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/APPNOTE_012_Verilog_to_BTOR.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/manual.tex + for f in `find manual -name \*.tex -exec grep -l {luximono} {} \;` + sed -i 's|{luximono}|{libertine}|' manual/presentation.tex + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.0ORrcr + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + CFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CFLAGS + CXXFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection' + export CXXFLAGS + FFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -I/usr/lib/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib: + export LT_SYS_LIBRARY_PATH + make -j6 PREFIX=/usr ABCEXTERNAL=/usr/bin/abc PRETTY=0 all manual [Makefile.conf] CONFIG := gcc rm -f kernel/version_*.o kernel/version_*.d kernel/version_*.cc mkdir -p kernel/ mkdir -p techlibs/common mkdir -p kernel && echo "namespace Yosys { extern const char *yosys_version_str; const char *yosys_version_str=\"Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os)\"; }" > kernel/version_UNKNOWN.cc gcc -o kernel/driver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/driver.cc python3 techlibs/common/cellhelp.py techlibs/common/simlib.v > techlibs/common/simlib_help.inc.new mkdir -p techlibs/common mkdir -p kernel/ mkdir -p kernel/ python3 techlibs/common/cellhelp.py techlibs/common/simcells.v > techlibs/common/simcells_help.inc.new mkdir -p kernel/ gcc -o kernel/rtlil.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/rtlil.cc gcc -o kernel/log.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_SRC='"./"' kernel/log.cc gcc -o kernel/calc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/calc.cc mv techlibs/common/simlib_help.inc.new techlibs/common/simlib_help.inc mkdir -p kernel/ gcc -o kernel/yosys.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYOSYS_DATDIR='"/usr/share/yosys"' kernel/yosys.cc mv techlibs/common/simcells_help.inc.new techlibs/common/simcells_help.inc mkdir -p kernel/ gcc -o kernel/cellaigs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/cellaigs.cc mkdir -p kernel/ gcc -o kernel/celledges.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/celledges.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerAlgorithms.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerAlgorithms.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigInteger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigInteger.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigIntegerUtils.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigIntegerUtils.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsigned.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsigned.cc mkdir -p libs/bigint/ gcc -o libs/bigint/BigUnsignedInABase.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/bigint/BigUnsignedInABase.cc mkdir -p libs/sha1/ gcc -o libs/sha1/sha1.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/sha1/sha1.cpp mkdir -p libs/subcircuit/ gcc -o libs/subcircuit/subcircuit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/subcircuit/subcircuit.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezsat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezsat.cc mkdir -p libs/ezsat/ gcc -o libs/ezsat/ezminisat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/ezsat/ezminisat.cc mkdir -p libs/minisat/ gcc -o libs/minisat/Options.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Options.cc mkdir -p libs/minisat/ gcc -o libs/minisat/SimpSolver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/SimpSolver.cc In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = int; V = Minisat::vec; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = int; Vec = Minisat::vec; Deleted = Minisat::SimpSolver::ClauseDeleted; MkIndex = Minisat::MkIndexDefault]' libs/minisat/SimpSolver.cc:92:28: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Sort.h:24, from libs/minisat/SimpSolver.cc:27: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p libs/minisat/ gcc -o libs/minisat/Solver.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/Solver.cc mkdir -p libs/minisat/ gcc -o libs/minisat/System.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER libs/minisat/System.cc In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h: In instantiation of 'void Minisat::vec::capacity(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]': libs/minisat/Vec.h:119:13: required from 'void Minisat::vec::growTo(Minisat::vec::Size) [with T = Minisat::vec; _Size = int; Minisat::vec::Size = int]' libs/minisat/IntMap.h:48:58: required from 'void Minisat::IntMap::reserve(K) [with K = Minisat::Lit; V = Minisat::vec; MkIndex = Minisat::MkIndexLit]' libs/minisat/SolverTypes.h:338:49: required from 'void Minisat::OccLists::init(const K&) [with K = Minisat::Lit; Vec = Minisat::vec; Deleted = Minisat::Solver::WatcherDeleted; MkIndex = Minisat::MkIndexLit]' libs/minisat/Solver.cc:134:35: required from here libs/minisat/Vec.h:103:33: warning: 'void* realloc(void*, size_t)' moving an object of non-trivially copyable type 'class Minisat::vec'; use 'new' and 'delete' instead [-Wclass-memaccess] 103 | || (((data = (T*)::realloc(data, (cap += add) * sizeof(T))) == NULL) && errno == ENOMEM) ) | ~~~~~~~~~^~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ In file included from libs/minisat/Alg.h:24, from libs/minisat/Solver.cc:29: libs/minisat/Vec.h:39:7: note: 'class Minisat::vec' declared here 39 | class vec { | ^~~ mkdir -p frontends/aiger/ gcc -o frontends/aiger/aigerparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/aiger/aigerparse.cc mkdir -p frontends/ast/ gcc -o frontends/ast/ast.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/ast.cc mkdir -p frontends/ast/ gcc -o frontends/ast/simplify.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/simplify.cc mkdir -p frontends/ast/ gcc -o frontends/ast/genrtlil.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/genrtlil.cc mkdir -p frontends/ast/ gcc -o frontends/ast/dpicall.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ast/dpicall.cc mkdir -p frontends/blif/ gcc -o frontends/blif/blifparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/blif/blifparse.cc mkdir -p frontends/ilang/ bison -o frontends/ilang/ilang_parser.tab.cc -d -r all -b frontends/ilang/ilang_parser frontends/ilang/ilang_parser.y mkdir -p frontends/ilang/ flex -o frontends/ilang/ilang_lexer.cc frontends/ilang/ilang_lexer.l mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_frontend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_frontend.cc mkdir -p frontends/json/ gcc -o frontends/json/jsonparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/json/jsonparse.cc mkdir -p frontends/liberty/ gcc -o frontends/liberty/liberty.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/liberty/liberty.cc mkdir -p frontends/verific/ gcc -o frontends/verific/verific.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verific/verific.cc mkdir -p frontends/verilog/ bison -o frontends/verilog/verilog_parser.tab.cc -d -r all -b frontends/verilog/verilog_parser frontends/verilog/verilog_parser.y mkdir -p frontends/verilog/ flex -o frontends/verilog/verilog_lexer.cc frontends/verilog/verilog_lexer.l mkdir -p frontends/verilog/ gcc -o frontends/verilog/preproc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/preproc.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_frontend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_frontend.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/const2ast.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/const2ast.cc mkdir -p passes/cmds/ gcc -o passes/cmds/add.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/add.cc mkdir -p passes/cmds/ gcc -o passes/cmds/delete.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/delete.cc mkdir -p passes/cmds/ gcc -o passes/cmds/design.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/design.cc mkdir -p passes/cmds/ gcc -o passes/cmds/select.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/select.cc mkdir -p passes/cmds/ gcc -o passes/cmds/show.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/show.cc mkdir -p passes/cmds/ gcc -o passes/cmds/rename.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/rename.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connect.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scatter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scatter.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setundef.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setundef.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splitnets.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splitnets.cc mkdir -p passes/cmds/ gcc -o passes/cmds/stat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/stat.cc mkdir -p passes/cmds/ gcc -o passes/cmds/setattr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/setattr.cc mkdir -p passes/cmds/ gcc -o passes/cmds/copy.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/copy.cc mkdir -p passes/cmds/ gcc -o passes/cmds/splice.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/splice.cc mkdir -p passes/cmds/ gcc -o passes/cmds/scc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/scc.cc mkdir -p passes/cmds/ gcc -o passes/cmds/torder.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/torder.cc mkdir -p passes/cmds/ gcc -o passes/cmds/logcmd.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/logcmd.cc mkdir -p passes/cmds/ gcc -o passes/cmds/tee.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/tee.cc mkdir -p passes/cmds/ gcc -o passes/cmds/write_file.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/write_file.cc mkdir -p passes/cmds/ gcc -o passes/cmds/connwrappers.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/connwrappers.cc mkdir -p passes/cmds/ gcc -o passes/cmds/cover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/cover.cc mkdir -p passes/cmds/ gcc -o passes/cmds/trace.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/trace.cc mkdir -p passes/cmds/ gcc -o passes/cmds/plugin.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/plugin.cc mkdir -p passes/cmds/ gcc -o passes/cmds/check.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/check.cc mkdir -p passes/cmds/ gcc -o passes/cmds/qwp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/qwp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/edgetypes.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/edgetypes.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chformal.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chformal.cc mkdir -p passes/cmds/ gcc -o passes/cmds/chtype.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/chtype.cc mkdir -p passes/cmds/ gcc -o passes/cmds/blackbox.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/blackbox.cc mkdir -p passes/cmds/ gcc -o passes/cmds/ltp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/ltp.cc mkdir -p passes/cmds/ gcc -o passes/cmds/bugpoint.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/cmds/bugpoint.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_make.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_make.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_miter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_miter.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_simple.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_simple.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_status.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_status.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_add.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_add.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_remove.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_remove.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_induct.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_induct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_struct.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_struct.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_purge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_purge.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_mark.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_mark.cc mkdir -p passes/equiv/ gcc -o passes/equiv/equiv_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/equiv/equiv_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_detect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_detect.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_extract.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_extract.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_opt.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_expand.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_expand.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_recode.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_recode.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_info.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_info.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_export.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_export.cc mkdir -p passes/fsm/ gcc -o passes/fsm/fsm_map.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/fsm/fsm_map.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/hierarchy.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/hierarchy.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/uniquify.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/uniquify.cc mkdir -p passes/hierarchy/ gcc -o passes/hierarchy/submod.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/hierarchy/submod.cc mkdir -p passes/memory/ gcc -o passes/memory/memory.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_dff.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_share.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_share.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_collect.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_collect.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_unpack.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_unpack.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_bram.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_bram.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_map.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_map.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_memx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_memx.cc mkdir -p passes/memory/ gcc -o passes/memory/memory_nordff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/memory/memory_nordff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_merge.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_merge.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_muxtree.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_muxtree.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_reduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_reduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_rmdff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_rmdff.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_clean.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_clean.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_expr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_expr.cc mkdir -p passes/opt/ gcc -o passes/opt/share.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/share.cc mkdir -p passes/opt/ gcc -o passes/opt/wreduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/wreduce.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_demorgan.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_demorgan.cc mkdir -p passes/opt/ gcc -o passes/opt/rmports.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/rmports.cc mkdir -p passes/opt/ gcc -o passes/opt/opt_lut.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/opt_lut.cc mkdir -p passes/opt/ gcc -o passes/opt/pmux2shiftx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/pmux2shiftx.cc mkdir -p passes/opt/ gcc -o passes/opt/muxpack.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/opt/muxpack.cc mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/ice40_dsp_pm.h -p ice40_dsp passes/pmgen/ice40_dsp.pmg mkdir -p passes/pmgen && python3 passes/pmgen/pmgen.py -o passes/pmgen/peepopt_pm.h -p peepopt passes/pmgen/peepopt_shiftmul.pmg passes/pmgen/peepopt_muldiv.pmg mkdir -p passes/proc/ gcc -o passes/proc/proc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_clean.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_clean.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_rmdead.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_rmdead.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_init.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_init.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_arst.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_arst.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_mux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_mux.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dlatch.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dlatch.cc mkdir -p passes/proc/ gcc -o passes/proc/proc_dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/proc/proc_dff.cc mkdir -p passes/sat/ gcc -o passes/sat/sat.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sat.cc mkdir -p passes/sat/ gcc -o passes/sat/freduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/freduce.cc mkdir -p passes/sat/ gcc -o passes/sat/eval.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/eval.cc mkdir -p passes/sat/ gcc -o passes/sat/sim.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/sim.cc mkdir -p passes/sat/ gcc -o passes/sat/miter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/miter.cc mkdir -p passes/sat/ gcc -o passes/sat/expose.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/expose.cc mkdir -p passes/sat/ gcc -o passes/sat/assertpmux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/assertpmux.cc mkdir -p passes/sat/ gcc -o passes/sat/clk2fflogic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/clk2fflogic.cc mkdir -p passes/sat/ gcc -o passes/sat/async2sync.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/async2sync.cc mkdir -p passes/sat/ gcc -o passes/sat/supercover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/supercover.cc mkdir -p passes/sat/ gcc -o passes/sat/fmcombine.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/fmcombine.cc mkdir -p passes/sat/ gcc -o passes/sat/mutate.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/mutate.cc mkdir -p passes/sat/ gcc -o passes/sat/cutpoint.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/sat/cutpoint.cc mkdir -p passes/techmap/ echo "// autogenerated from techlibs/common/techmap.v" > passes/techmap/techmap.inc.new echo "static char stdcells_code[] = {" >> passes/techmap/techmap.inc.new od -v -td1 -An techlibs/common/techmap.v | sed -e 's/[0-9][0-9]*/&,/g' >> passes/techmap/techmap.inc.new echo "0};" >> passes/techmap/techmap.inc.new mv passes/techmap/techmap.inc.new passes/techmap/techmap.inc mkdir -p passes/techmap/ gcc -o passes/techmap/simplemap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/simplemap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dfflibmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dfflibmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/maccmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/maccmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/libparse.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/libparse.cc mkdir -p passes/techmap/ gcc -o passes/techmap/abc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DABCEXTERNAL='"/usr/bin/abc"' passes/techmap/abc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/iopadmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/iopadmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/hilomap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/hilomap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_fa.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_fa.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_counter.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_counter.cc mkdir -p passes/techmap/ gcc -o passes/techmap/extract_reduce.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/extract_reduce.cc mkdir -p passes/techmap/ gcc -o passes/techmap/alumacc.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/alumacc.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffe.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffe.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/pmuxtree.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/pmuxtree.cc mkdir -p passes/techmap/ gcc -o passes/techmap/muxcover.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/muxcover.cc mkdir -p passes/techmap/ gcc -o passes/techmap/aigmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/aigmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/tribuf.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/tribuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/lut2mux.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/lut2mux.cc mkdir -p passes/techmap/ gcc -o passes/techmap/nlutmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/nlutmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dffsr2dff.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dffsr2dff.cc mkdir -p passes/techmap/ gcc -o passes/techmap/shregmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/shregmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/deminout.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/deminout.cc mkdir -p passes/techmap/ gcc -o passes/techmap/insbuf.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/insbuf.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmvcp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmvcp.cc mkdir -p passes/techmap/ gcc -o passes/techmap/attrmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/attrmap.cc mkdir -p passes/techmap/ gcc -o passes/techmap/zinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/zinit.cc mkdir -p passes/techmap/ gcc -o passes/techmap/dff2dffs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/dff2dffs.cc mkdir -p passes/techmap/ gcc -o passes/techmap/flowmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/flowmap.cc mkdir -p passes/tests/ gcc -o passes/tests/test_autotb.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_autotb.cc mkdir -p passes/tests/ gcc -o passes/tests/test_cell.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_cell.cc mkdir -p passes/tests/ gcc -o passes/tests/test_abcloop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/tests/test_abcloop.cc mkdir -p backends/aiger/ gcc -o backends/aiger/aiger.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/aiger/aiger.cc mkdir -p backends/blif/ gcc -o backends/blif/blif.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/blif/blif.cc mkdir -p backends/btor/ gcc -o backends/btor/btor.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/btor/btor.cc mkdir -p backends/edif/ gcc -o backends/edif/edif.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/edif/edif.cc mkdir -p backends/firrtl/ gcc -o backends/firrtl/firrtl.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/firrtl/firrtl.cc mkdir -p backends/ilang/ gcc -o backends/ilang/ilang_backend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/ilang/ilang_backend.cc mkdir -p backends/intersynth/ gcc -o backends/intersynth/intersynth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/intersynth/intersynth.cc mkdir -p backends/json/ gcc -o backends/json/json.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/json/json.cc mkdir -p backends/simplec/ gcc -o backends/simplec/simplec.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/simplec/simplec.cc mkdir -p backends/smt2/ gcc -o backends/smt2/smt2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smt2/smt2.cc mkdir -p backends/smv/ gcc -o backends/smv/smv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/smv/smv.cc mkdir -p backends/spice/ gcc -o backends/spice/spice.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/spice/spice.cc mkdir -p backends/table/ gcc -o backends/table/table.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/table/table.cc mkdir -p backends/verilog/ gcc -o backends/verilog/verilog_backend.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER backends/verilog/verilog_backend.cc mkdir -p techlibs/achronix/ gcc -o techlibs/achronix/synth_achronix.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/achronix/synth_achronix.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/synth_anlogic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/synth_anlogic.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_eqn.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_eqn.cc mkdir -p techlibs/anlogic/ gcc -o techlibs/anlogic/anlogic_determine_init.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/anlogic/anlogic_determine_init.cc mkdir -p techlibs/common/ gcc -o techlibs/common/synth.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/synth.cc mkdir -p techlibs/common/ gcc -o techlibs/common/prep.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/common/prep.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/synth_coolrunner2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/synth_coolrunner2.cc mkdir -p techlibs/coolrunner2/ gcc -o techlibs/coolrunner2/coolrunner2_sop.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/coolrunner2/coolrunner2_sop.cc mkdir -p techlibs/easic/ gcc -o techlibs/easic/synth_easic.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/easic/synth_easic.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/synth_ecp5.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/synth_ecp5.cc mkdir -p techlibs/ecp5/ gcc -o techlibs/ecp5/ecp5_ffinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ecp5/ecp5_ffinit.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/synth_gowin.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/synth_gowin.cc mkdir -p techlibs/gowin/ gcc -o techlibs/gowin/determine_init.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/gowin/determine_init.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/synth_greenpak4.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/synth_greenpak4.cc mkdir -p techlibs/greenpak4/ gcc -o techlibs/greenpak4/greenpak4_dffinv.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/greenpak4/greenpak4_dffinv.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/synth_ice40.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/synth_ice40.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_braminit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_braminit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffssr.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffssr.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_ffinit.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_ffinit.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_opt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_opt.cc mkdir -p techlibs/ice40/ gcc -o techlibs/ice40/ice40_unlut.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/ice40/ice40_unlut.cc mkdir -p techlibs/intel/ gcc -o techlibs/intel/synth_intel.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/intel/synth_intel.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/synth_sf2.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/synth_sf2.cc mkdir -p techlibs/sf2/ gcc -o techlibs/sf2/sf2_iobs.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/sf2/sf2_iobs.cc mkdir -p techlibs/xilinx/ gcc -o techlibs/xilinx/synth_xilinx.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER techlibs/xilinx/synth_xilinx.cc sed -e 's#@CXXFLAGS@#-O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I"/usr/include/yosys" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER#;' \ -e 's#@CXX@#gcc#;' -e 's#@LDFLAGS@#-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic#;' -e 's#@LDLIBS@#-lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6#;' \ -e 's#@BINDIR@#/usr/bin#;' -e 's#@DATDIR@#/usr/share/yosys#;' < misc/yosys-config.in > yosys-config chmod +x yosys-config mkdir -p passes/techmap/ gcc -o passes/techmap/filterlib.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/filterlib.cc sed 's|##yosys-sys-path##|sys.path += [os.path.dirname(os.path.realpath(__file__)) + p for p in ["/share/python3", "/../share/yosys/python3"]]|;' < backends/smt2/smtbmc.py > yosys-smtbmc.new chmod +x yosys-smtbmc.new mv yosys-smtbmc.new yosys-smtbmc mkdir -p share/include/kernel/ cp "./"/kernel/yosys.h share/include/kernel/yosys.h mkdir -p share/include/kernel/ cp "./"/kernel/hashlib.h share/include/kernel/hashlib.h mkdir -p share/include/kernel/ mkdir -p share/include/kernel/ cp "./"/kernel/log.h share/include/kernel/log.h cp "./"/kernel/rtlil.h share/include/kernel/rtlil.h mkdir -p share/include/kernel/ mkdir -p share/include/kernel/ cp "./"/kernel/register.h share/include/kernel/register.h cp "./"/kernel/celltypes.h share/include/kernel/celltypes.h mkdir -p share/include/kernel/ mkdir -p share/include/kernel/ cp "./"/kernel/celledges.h share/include/kernel/celledges.h cp "./"/kernel/consteval.h share/include/kernel/consteval.h mkdir -p share/include/kernel/ mkdir -p share/include/kernel/ cp "./"/kernel/sigtools.h share/include/kernel/sigtools.h cp "./"/kernel/modtools.h share/include/kernel/modtools.h mkdir -p share/include/kernel/ mkdir -p share/include/kernel/ cp "./"/kernel/macc.h share/include/kernel/macc.h cp "./"/kernel/utils.h share/include/kernel/utils.h mkdir -p share/include/kernel/ mkdir -p share/include/libs/ezsat/ cp "./"/kernel/satgen.h share/include/kernel/satgen.h cp "./"/libs/ezsat/ezsat.h share/include/libs/ezsat/ezsat.h mkdir -p share/include/libs/ezsat/ mkdir -p share/include/libs/sha1/ cp "./"/libs/ezsat/ezminisat.h share/include/libs/ezsat/ezminisat.h cp "./"/libs/sha1/sha1.h share/include/libs/sha1/sha1.h mkdir -p share/include/passes/fsm/ mkdir -p share/include/frontends/ast/ cp "./"/passes/fsm/fsmdata.h share/include/passes/fsm/fsmdata.h cp "./"/frontends/ast/ast.h share/include/frontends/ast/ast.h mkdir -p share/include/backends/ilang/ mkdir -p share/python3 cp "./"/backends/ilang/ilang_backend.h share/include/backends/ilang/ilang_backend.h cp "./"/backends/smt2/smtio.py share/python3/smtio.py mkdir -p share/achronix/speedster22i/ cp "./"/techlibs/achronix/speedster22i/cells_sim.v share/achronix/speedster22i/cells_sim.v mkdir -p share/achronix/speedster22i/ mkdir -p share/anlogic cp "./"/techlibs/achronix/speedster22i/cells_map.v share/achronix/speedster22i/cells_map.v cp "./"/techlibs/anlogic/cells_map.v share/anlogic/cells_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/arith_map.v share/anlogic/arith_map.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/cells_sim.v share/anlogic/cells_sim.v mkdir -p share/anlogic cp "./"/techlibs/anlogic/eagle_bb.v share/anlogic/eagle_bb.v mkdir -p share/anlogic mkdir -p share/anlogic cp "./"/techlibs/anlogic/drams_map.v share/anlogic/drams_map.v cp "./"/techlibs/anlogic/drams.txt share/anlogic/drams.txt mkdir -p share/anlogic mkdir -p share cp "./"/techlibs/anlogic/dram_init_16x4.vh share/anlogic/dram_init_16x4.vh cp "./"/techlibs/common/simlib.v share/simlib.v mkdir -p share cp "./"/techlibs/common/simcells.v share/simcells.v mkdir -p share cp "./"/techlibs/common/techmap.v share/techmap.v mkdir -p share cp "./"/techlibs/common/pmux2mux.v share/pmux2mux.v mkdir -p share cp "./"/techlibs/common/adff2dff.v share/adff2dff.v mkdir -p share mkdir -p share cp "./"/techlibs/common/gate2lut.v share/gate2lut.v cp "./"/techlibs/common/dff2ff.v share/dff2ff.v mkdir -p share cp "./"/techlibs/common/cmp2lut.v share/cmp2lut.v mkdir -p share cp "./"/techlibs/common/cells.lib share/cells.lib mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_latch.v share/coolrunner2/cells_latch.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/cells_sim.v share/coolrunner2/cells_sim.v mkdir -p share/coolrunner2 cp "./"/techlibs/coolrunner2/tff_extract.v share/coolrunner2/tff_extract.v mkdir -p share/coolrunner2 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_map.v share/ecp5/cells_map.v cp "./"/techlibs/coolrunner2/xc2_dff.lib share/coolrunner2/xc2_dff.lib mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_sim.v share/ecp5/cells_sim.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/cells_bb.v share/ecp5/cells_bb.v mkdir -p share/ecp5 cp "./"/techlibs/ecp5/drams_map.v share/ecp5/drams_map.v mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/brams_map.v share/ecp5/brams_map.v cp "./"/techlibs/ecp5/dram.txt share/ecp5/dram.txt mkdir -p share/ecp5 cp "./"/techlibs/ecp5/bram.txt share/ecp5/bram.txt mkdir -p share/ecp5 mkdir -p share/ecp5 cp "./"/techlibs/ecp5/arith_map.v share/ecp5/arith_map.v cp "./"/techlibs/ecp5/latches_map.v share/ecp5/latches_map.v mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_init.py mkdir -p techlibs/ecp5 python3 techlibs/ecp5/brams_connect.py touch techlibs/ecp5/brams_connect.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_map.v share/gowin/cells_map.v mkdir -p share/gowin touch techlibs/ecp5/brams_init.mk mkdir -p share/gowin cp "./"/techlibs/gowin/cells_sim.v share/gowin/cells_sim.v cp "./"/techlibs/gowin/arith_map.v share/gowin/arith_map.v mkdir -p share/gowin cp "./"/techlibs/gowin/brams_map.v share/gowin/brams_map.v mkdir -p share/gowin mkdir -p share/gowin cp "./"/techlibs/gowin/bram.txt share/gowin/bram.txt cp "./"/techlibs/gowin/drams_map.v share/gowin/drams_map.v mkdir -p share/gowin mkdir -p share/gowin cp "./"/techlibs/gowin/dram.txt share/gowin/dram.txt cp "./"/techlibs/gowin/brams_init3.vh share/gowin/brams_init3.vh mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_blackbox.v share/greenpak4/cells_blackbox.v mkdir -p share/greenpak4 mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_map.v share/greenpak4/cells_map.v cp "./"/techlibs/greenpak4/cells_latch.v share/greenpak4/cells_latch.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim.v share/greenpak4/cells_sim.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_ams.v share/greenpak4/cells_sim_ams.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_digital.v share/greenpak4/cells_sim_digital.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/cells_sim_wip.v share/greenpak4/cells_sim_wip.v mkdir -p share/greenpak4 cp "./"/techlibs/greenpak4/gp_dff.lib share/greenpak4/gp_dff.lib mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_map.v share/ice40/cells_map.v cp "./"/techlibs/ice40/arith_map.v share/ice40/arith_map.v mkdir -p share/ice40 cp "./"/techlibs/ice40/cells_sim.v share/ice40/cells_sim.v mkdir -p share/ice40 mkdir -p share/ice40 cp "./"/techlibs/ice40/latches_map.v share/ice40/latches_map.v cp "./"/techlibs/ice40/brams.txt share/ice40/brams.txt mkdir -p share/ice40 cp "./"/techlibs/ice40/brams_map.v share/ice40/brams_map.v mkdir -p techlibs/ice40 python3 techlibs/ice40/brams_init.py mkdir -p share/intel/common cp "./"/techlibs/intel/common/m9k_bb.v share/intel/common/m9k_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/altpll_bb.v share/intel/common/altpll_bb.v mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams.txt share/intel/common/brams.txt mkdir -p share/intel/common cp "./"/techlibs/intel/common/brams_map.v share/intel/common/brams_map.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_sim.v share/intel/max10/cells_sim.v mkdir -p share/intel/a10gx touch techlibs/ice40/brams_init.mk cp "./"/techlibs/intel/a10gx/cells_sim.v share/intel/a10gx/cells_sim.v mkdir -p share/intel/cyclonev cp "./"/techlibs/intel/cyclonev/cells_sim.v share/intel/cyclonev/cells_sim.v mkdir -p share/intel/cyclone10 mkdir -p share/intel/cycloneiv cp "./"/techlibs/intel/cycloneiv/cells_sim.v share/intel/cycloneiv/cells_sim.v cp "./"/techlibs/intel/cyclone10/cells_sim.v share/intel/cyclone10/cells_sim.v mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneive/cells_sim.v share/intel/cycloneive/cells_sim.v mkdir -p share/intel/max10 cp "./"/techlibs/intel/max10/cells_map.v share/intel/max10/cells_map.v mkdir -p share/intel/a10gx cp "./"/techlibs/intel/a10gx/cells_map.v share/intel/a10gx/cells_map.v mkdir -p share/intel/cyclonev mkdir -p share/intel/cyclone10 cp "./"/techlibs/intel/cyclonev/cells_map.v share/intel/cyclonev/cells_map.v cp "./"/techlibs/intel/cyclone10/cells_map.v share/intel/cyclone10/cells_map.v mkdir -p share/intel/cycloneiv mkdir -p share/intel/cycloneive cp "./"/techlibs/intel/cycloneiv/cells_map.v share/intel/cycloneiv/cells_map.v cp "./"/techlibs/intel/cycloneive/cells_map.v share/intel/cycloneive/cells_map.v mkdir -p share/sf2 mkdir -p share/sf2 cp "./"/techlibs/sf2/arith_map.v share/sf2/arith_map.v cp "./"/techlibs/sf2/cells_map.v share/sf2/cells_map.v mkdir -p share/sf2 mkdir -p share/xilinx cp "./"/techlibs/sf2/cells_sim.v share/sf2/cells_sim.v cp "./"/techlibs/xilinx/cells_map.v share/xilinx/cells_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/cells_sim.v share/xilinx/cells_sim.v cp "./"/techlibs/xilinx/cells_xtra.v share/xilinx/cells_xtra.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams.txt share/xilinx/brams.txt cp "./"/techlibs/xilinx/brams_map.v share/xilinx/brams_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/brams_bb.v share/xilinx/brams_bb.v cp "./"/techlibs/xilinx/drams.txt share/xilinx/drams.txt mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/drams_map.v share/xilinx/drams_map.v cp "./"/techlibs/xilinx/arith_map.v share/xilinx/arith_map.v mkdir -p share/xilinx mkdir -p share/xilinx cp "./"/techlibs/xilinx/ff_map.v share/xilinx/ff_map.v cp "./"/techlibs/xilinx/lut_map.v share/xilinx/lut_map.v mkdir -p techlibs/xilinx python3 techlibs/xilinx/brams_init.py mkdir -p kernel/ gcc -o kernel/version_UNKNOWN.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/version_UNKNOWN.cc mkdir -p kernel/ gcc -o kernel/register.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER kernel/register.cc touch techlibs/xilinx/brams_init.mk mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_parser.tab.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_parser.tab.cc mkdir -p frontends/ilang/ gcc -o frontends/ilang/ilang_lexer.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/ilang/ilang_lexer.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_parser.tab.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER -DYYMAXDEPTH=10000000 frontends/verilog/verilog_parser.tab.cc mkdir -p frontends/verilog/ gcc -o frontends/verilog/verilog_lexer.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER frontends/verilog/verilog_lexer.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/ice40_dsp.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/ice40_dsp.cc mkdir -p passes/pmgen/ gcc -o passes/pmgen/peepopt.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/pmgen/peepopt.cc mkdir -p passes/techmap/ gcc -o passes/techmap/techmap.o -c -O2 -g -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fexceptions -fstack-protector-strong -grecord-gcc-switches -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -Wall -Wextra -ggdb -I. -I"./" -MD -D_YOSYS_ -fPIC -I/usr/include -std=c++11 -Os -DYOSYS_ENABLE_READLINE -DYOSYS_ENABLE_PLUGINS -DYOSYS_ENABLE_GLOB -DYOSYS_ENABLE_TCL -DYOSYS_ENABLE_ABC -DYOSYS_ENABLE_COVER passes/techmap/techmap.cc mkdir -p ./ gcc -o yosys-filterlib -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic passes/techmap/filterlib.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_1_2_4.vh share/ecp5/bram_init_1_2_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_init_9_18_36.vh share/ecp5/bram_init_9_18_36.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_1.vh share/ecp5/bram_conn_1.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_2.vh share/ecp5/bram_conn_2.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_4.vh share/ecp5/bram_conn_4.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_9.vh share/ecp5/bram_conn_9.vh mkdir -p share/ecp5 cp techlibs/ecp5/bram_conn_18.vh share/ecp5/bram_conn_18.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init1.vh share/ice40/brams_init1.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init2.vh share/ice40/brams_init2.vh mkdir -p share/ice40 cp techlibs/ice40/brams_init3.vh share/ice40/brams_init3.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_36.vh share/xilinx/brams_init_36.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_32.vh share/xilinx/brams_init_32.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_18.vh share/xilinx/brams_init_18.vh mkdir -p share/xilinx cp techlibs/xilinx/brams_init_16.vh share/xilinx/brams_init_16.vh gcc -o yosys -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -L/usr/lib -rdynamic kernel/version_UNKNOWN.o kernel/driver.o kernel/register.o kernel/rtlil.o kernel/log.o kernel/calc.o kernel/yosys.o kernel/cellaigs.o kernel/celledges.o libs/bigint/BigIntegerAlgorithms.o libs/bigint/BigInteger.o libs/bigint/BigIntegerUtils.o libs/bigint/BigUnsigned.o libs/bigint/BigUnsignedInABase.o libs/sha1/sha1.o libs/subcircuit/subcircuit.o libs/ezsat/ezsat.o libs/ezsat/ezminisat.o libs/minisat/Options.o libs/minisat/SimpSolver.o libs/minisat/Solver.o libs/minisat/System.o frontends/aiger/aigerparse.o frontends/ast/ast.o frontends/ast/simplify.o frontends/ast/genrtlil.o frontends/ast/dpicall.o frontends/blif/blifparse.o frontends/ilang/ilang_parser.tab.o frontends/ilang/ilang_lexer.o frontends/ilang/ilang_frontend.o frontends/json/jsonparse.o frontends/liberty/liberty.o frontends/verific/verific.o frontends/verilog/verilog_parser.tab.o frontends/verilog/verilog_lexer.o frontends/verilog/preproc.o frontends/verilog/verilog_frontend.o frontends/verilog/const2ast.o passes/cmds/add.o passes/cmds/delete.o passes/cmds/design.o passes/cmds/select.o passes/cmds/show.o passes/cmds/rename.o passes/cmds/connect.o passes/cmds/scatter.o passes/cmds/setundef.o passes/cmds/splitnets.o passes/cmds/stat.o passes/cmds/setattr.o passes/cmds/copy.o passes/cmds/splice.o passes/cmds/scc.o passes/cmds/torder.o passes/cmds/logcmd.o passes/cmds/tee.o passes/cmds/write_file.o passes/cmds/connwrappers.o passes/cmds/cover.o passes/cmds/trace.o passes/cmds/plugin.o passes/cmds/check.o passes/cmds/qwp.o passes/cmds/edgetypes.o passes/cmds/chformal.o passes/cmds/chtype.o passes/cmds/blackbox.o passes/cmds/ltp.o passes/cmds/bugpoint.o passes/equiv/equiv_make.o passes/equiv/equiv_miter.o passes/equiv/equiv_simple.o passes/equiv/equiv_status.o passes/equiv/equiv_add.o passes/equiv/equiv_remove.o passes/equiv/equiv_induct.o passes/equiv/equiv_struct.o passes/equiv/equiv_purge.o passes/equiv/equiv_mark.o passes/equiv/equiv_opt.o passes/fsm/fsm.o passes/fsm/fsm_detect.o passes/fsm/fsm_extract.o passes/fsm/fsm_opt.o passes/fsm/fsm_expand.o passes/fsm/fsm_recode.o passes/fsm/fsm_info.o passes/fsm/fsm_export.o passes/fsm/fsm_map.o passes/hierarchy/hierarchy.o passes/hierarchy/uniquify.o passes/hierarchy/submod.o passes/memory/memory.o passes/memory/memory_dff.o passes/memory/memory_share.o passes/memory/memory_collect.o passes/memory/memory_unpack.o passes/memory/memory_bram.o passes/memory/memory_map.o passes/memory/memory_memx.o passes/memory/memory_nordff.o passes/opt/opt.o passes/opt/opt_merge.o passes/opt/opt_muxtree.o passes/opt/opt_reduce.o passes/opt/opt_rmdff.o passes/opt/opt_clean.o passes/opt/opt_expr.o passes/opt/share.o passes/opt/wreduce.o passes/opt/opt_demorgan.o passes/opt/rmports.o passes/opt/opt_lut.o passes/opt/pmux2shiftx.o passes/opt/muxpack.o passes/pmgen/ice40_dsp.o passes/pmgen/peepopt.o passes/proc/proc.o passes/proc/proc_clean.o passes/proc/proc_rmdead.o passes/proc/proc_init.o passes/proc/proc_arst.o passes/proc/proc_mux.o passes/proc/proc_dlatch.o passes/proc/proc_dff.o passes/sat/sat.o passes/sat/freduce.o passes/sat/eval.o passes/sat/sim.o passes/sat/miter.o passes/sat/expose.o passes/sat/assertpmux.o passes/sat/clk2fflogic.o passes/sat/async2sync.o passes/sat/supercover.o passes/sat/fmcombine.o passes/sat/mutate.o passes/sat/cutpoint.o passes/techmap/techmap.o passes/techmap/simplemap.o passes/techmap/dfflibmap.o passes/techmap/maccmap.o passes/techmap/libparse.o passes/techmap/abc.o passes/techmap/iopadmap.o passes/techmap/hilomap.o passes/techmap/extract.o passes/techmap/extract_fa.o passes/techmap/extract_counter.o passes/techmap/extract_reduce.o passes/techmap/alumacc.o passes/techmap/dff2dffe.o passes/techmap/dffinit.o passes/techmap/pmuxtree.o passes/techmap/muxcover.o passes/techmap/aigmap.o passes/techmap/tribuf.o passes/techmap/lut2mux.o passes/techmap/nlutmap.o passes/techmap/dffsr2dff.o passes/techmap/shregmap.o passes/techmap/deminout.o passes/techmap/insbuf.o passes/techmap/attrmvcp.o passes/techmap/attrmap.o passes/techmap/zinit.o passes/techmap/dff2dffs.o passes/techmap/flowmap.o passes/tests/test_autotb.o passes/tests/test_cell.o passes/tests/test_abcloop.o backends/aiger/aiger.o backends/blif/blif.o backends/btor/btor.o backends/edif/edif.o backends/firrtl/firrtl.o backends/ilang/ilang_backend.o backends/intersynth/intersynth.o backends/json/json.o backends/simplec/simplec.o backends/smt2/smt2.o backends/smv/smv.o backends/spice/spice.o backends/table/table.o backends/verilog/verilog_backend.o techlibs/achronix/synth_achronix.o techlibs/anlogic/synth_anlogic.o techlibs/anlogic/anlogic_eqn.o techlibs/anlogic/anlogic_determine_init.o techlibs/common/synth.o techlibs/common/prep.o techlibs/coolrunner2/synth_coolrunner2.o techlibs/coolrunner2/coolrunner2_sop.o techlibs/easic/synth_easic.o techlibs/ecp5/synth_ecp5.o techlibs/ecp5/ecp5_ffinit.o techlibs/gowin/synth_gowin.o techlibs/gowin/determine_init.o techlibs/greenpak4/synth_greenpak4.o techlibs/greenpak4/greenpak4_dffinv.o techlibs/ice40/synth_ice40.o techlibs/ice40/ice40_braminit.o techlibs/ice40/ice40_ffssr.o techlibs/ice40/ice40_ffinit.o techlibs/ice40/ice40_opt.o techlibs/ice40/ice40_unlut.o techlibs/intel/synth_intel.o techlibs/sf2/synth_sf2.o techlibs/sf2/sf2_iobs.o techlibs/xilinx/synth_xilinx.o -lstdc++ -lm -lrt -lreadline -lffi -ldl -ltcl8.6 -ltclstub8.6 cd manual && bash appnotes.sh Build successful. + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_010_Verilog_to_BLIF.ok -a APPNOTE_010_Verilog_to_BLIF.ok -nt APPNOTE_010_Verilog_to_BLIF.tex ']' + '[' -f APPNOTE_010_Verilog_to_BLIF/make.sh ']' ++ '[' -f APPNOTE_010_Verilog_to_BLIF.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_010_Verilog_to_BLIF.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. kpathsea: Running mktexfmt pdflatex.fmt mktexfmt: mktexfmt is using the following fmtutil.cnf files (in precedence order): mktexfmt: /usr/share/texlive/texmf-dist/web2c/fmtutil.cnf mktexfmt: mktexfmt is using the following fmtutil.cnf file for writing changes: mktexfmt: /builddir/.texlive2019/texmf-config/web2c/fmtutil.cnf mktexfmt [INFO]: writing formats under /builddir/.texlive2019/texmf-var/web2c mktexfmt [INFO]: --- remaking pdflatex with pdftex mktexfmt: running `pdftex -ini -jobname=pdflatex -progname=pdflatex -translate-file=cp227.tcx *pdflatex.ini' ... This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (INITEX) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/web2c/cp227.tcx) entering extended mode (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/pdflatex.ini (/usr/share/texlive/texmf-dist/tex/generic/tex-ini-files/pdftexconfig.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/latex.ltx (/usr/share/texlive/texmf-dist/tex/latex/base/texsys.cfg) ./texsys.aux found \@currdir set to: ./. Assuming \openin and \input have the same search path. Defining UNIX/DOS style filename parser. catcodes, registers, parameters, LaTeX2e <2018-12-01> hacks, control, par, spacing, files, font encodings, lengths, ==================================== Local config file fonttext.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmss.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmtt.fd))) ==================================== Local config file fontmath.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlcmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omscmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omxcmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ucmr.fd))) ==================================== Local config file preload.cfg used ===================================== (/usr/share/texlive/texmf-dist/tex/latex/base/preload.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/preload.ltx)) page nos., x-ref, environments, center, verbatim, math definitions, boxes, title, sectioning, contents, floats, footnotes, index, bibliography, output, =========================================== Local configuration file hyphen.cfg used =========================================== (/usr/share/texlive/texmf-dist/tex/generic/babel/hyphen.cfg (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/hyphen.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/dumyhyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/zerohyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/loadhyph/loadhyph-pl.tex QX Polish hyphenation patterns (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/conversions/conv-utf8-qx.t ex) (/usr/share/texlive/texmf-dist/tex/generic/hyph-utf8/patterns/tex/hyph-pl.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/base/utf8.def (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.dfu)) ) ) Beginning to dump on file pdflatex.fmt (preloaded format=pdflatex 2020.4.23) 5482 strings of total length 73558 51382 memory locations dumped; current usage is 144&51208 3778 multiletter control sequences \font\nullfont=nullfont \font\OMX/cmex/m/n/10=cmex10 \font\tenln=line10 \font\tenlnw=linew10 \font\tencirc=lcircle10 \font\tencircw=lcirclew10 \font\OT1/cmr/m/n/5=cmr5 \font\OT1/cmr/m/n/7=cmr7 \font\OT1/cmr/m/n/10=cmr10 \font\OML/cmm/m/it/5=cmmi5 \font\OML/cmm/m/it/7=cmmi7 \font\OML/cmm/m/it/10=cmmi10 \font\OMS/cmsy/m/n/5=cmsy5 \font\OMS/cmsy/m/n/7=cmsy7 \font\OMS/cmsy/m/n/10=cmsy10 3633 words of font info for 14 preloaded fonts 34 hyphenation exceptions Hyphenation trie of length 11340 has 377 ops out of 35111 194 for language 3 2 for language 1 181 for language 0 0 words of pdfTeX memory 0 indirect objects No pages of output. Transcript written on pdflatex.log. mktexfmt [INFO]: /builddir/.texlive2019/texmf-var/web2c/pdftex/pdflatex.fmt installed. mktexfmt [INFO]: Successfully rebuilt formats: 1 mktexfmt [INFO]: Not selected formats: 16 mktexfmt [INFO]: Total formats: 17 mktexfmt [INFO]: exiting with status 0 entering extended mode (./APPNOTE_010_Verilog_to_BLIF.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file APPNOTE_010_Verilog_to_BLIF.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Citation `blif' on page 1 undefined on input line 64. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 69. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 97. LaTeX Warning: Citation `bigsim' on page 1 undefined on input line 98. LaTeX Warning: Citation `navre' on page 1 undefined on input line 104. Underfull \hbox (badness 10000) in paragraph at lines 109--111 []\T1/LinuxLibertineT-TLF/m/n/9 Converting \T1/LinuxLibertineMonoT-TLF/regular/ n/9 softusb_navre.v \T1/LinuxLibertineT-TLF/m/n/9 to (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (23.40253pt too wide) in paragraph at lines 114--115 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 3128) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 For ex-am-ple, the \T1/LinuxLibertineMonoT-TLF/re gular/n/9 -o softusb_navre.blif \T1/LinuxLibertineT-TLF/m/n/9 op-tion just Underfull \hbox (badness 2035) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 -- \T1/LinuxLibertineMonoT-TLF/regular/n/9 softus b_navre.v \T1/LinuxLibertineT-TLF/m/n/9 in this case -- adds the com-mand [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 ] Overfull \hbox (23.40253pt too wide) in paragraph at lines 235--236 [][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Citation `amber' on page 2 undefined on input line 244. LaTeX Warning: Citation `bigsim' on page 2 undefined on input line 246. LaTeX Warning: Reference `glob_arst' on page 2 undefined on input line 278. LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 290. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 345. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) LaTeX Warning: Reference `aber23.ys' on page 2 undefined on input line 394. LaTeX Warning: Reference `adff2dff.v' on page 2 undefined on input line 395. LaTeX Warning: Reference `sieve' on page 2 undefined on input line 397. Underfull \vbox (badness 3815) has occurred while \output is active [2pdfTeX wa rning (ext4): destination with the same identifier (name{figure.4}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 ] LaTeX Warning: Citation `ABC' on page 3 undefined on input line 401. [3pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 362244 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='26a7f8cddb1dbf63fc08d96edf3efa37 -' + '[' '' '!=' '26a7f8cddb1dbf63fc08d96edf3efa37 -' ']' + old_md5='26a7f8cddb1dbf63fc08d96edf3efa37 -' + pdflatex -shell-escape -halt-on-error APPNOTE_010_Verilog_to_BLIF.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_010_Verilog_to_BLIF.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./APPNOTE_010_Verilog_to_BLIF.aux) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) Underfull \hbox (badness 10000) in paragraph at lines 109--111 []\T1/LinuxLibertineT-TLF/m/n/9 Converting \T1/LinuxLibertineMonoT-TLF/regular/ n/9 softusb_navre.v \T1/LinuxLibertineT-TLF/m/n/9 to (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (23.40253pt too wide) in paragraph at lines 114--115 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 3128) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 For ex-am-ple, the \T1/LinuxLibertineMonoT-TLF/re gular/n/9 -o softusb_navre.blif \T1/LinuxLibertineT-TLF/m/n/9 op-tion just Underfull \hbox (badness 2035) in paragraph at lines 120--128 \T1/LinuxLibertineT-TLF/m/n/9 -- \T1/LinuxLibertineMonoT-TLF/regular/n/9 softus b_navre.v \T1/LinuxLibertineT-TLF/m/n/9 in this case -- adds the com-mand [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 pdfTeX warning (ext4): destination with the same identifier (name{figure. 3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.206 ] Overfull \hbox (23.40253pt too wide) in paragraph at lines 235--236 [][][][][][][][][][][][][][][][][][][][][][][][][][] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) Underfull \vbox (badness 3815) has occurred while \output is active [2pdfTeX wa rning (ext4): destination with the same identifier (name{figure.4}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.399 ] [3pdfTeX warning (ext4): destination with the same identifier (name{fig ure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.466 \end{document} ] (./APPNOTE_010_Verilog_to_BLIF.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_010_Verilog_to_BLIF.pdf (3 pages, 362523 bytes). Transcript written on APPNOTE_010_Verilog_to_BLIF.log. ++ md5sum + new_md5='26a7f8cddb1dbf63fc08d96edf3efa37 -' + '[' '26a7f8cddb1dbf63fc08d96edf3efa37 -' '!=' '26a7f8cddb1dbf63fc08d96edf3efa37 -' ']' + touch APPNOTE_010_Verilog_to_BLIF.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_011_Design_Investigation.ok -a APPNOTE_011_Design_Investigation.ok -nt APPNOTE_011_Design_Investigation.tex ']' + '[' -f APPNOTE_011_Design_Investigation/make.sh ']' + cd APPNOTE_011_Design_Investigation + bash make.sh + false + for dot_file in *.dot + pdf_file=cmos_00.pdf + dot -Tpdf -o cmos_00.pdf cmos_00.dot + for dot_file in *.dot + pdf_file=cmos_01.pdf + dot -Tpdf -o cmos_01.pdf cmos_01.dot + for dot_file in *.dot + pdf_file=example_00.pdf + dot -Tpdf -o example_00.pdf example_00.dot + for dot_file in *.dot + pdf_file=example_01.pdf + dot -Tpdf -o example_01.pdf example_01.dot + for dot_file in *.dot + pdf_file=example_02.pdf + dot -Tpdf -o example_02.pdf example_02.dot + for dot_file in *.dot + pdf_file=example_03.pdf + dot -Tpdf -o example_03.pdf example_03.dot + for dot_file in *.dot + pdf_file=memdemo_00.pdf + dot -Tpdf -o memdemo_00.pdf memdemo_00.dot + for dot_file in *.dot + pdf_file=memdemo_01.pdf + dot -Tpdf -o memdemo_01.pdf memdemo_01.dot + for dot_file in *.dot + pdf_file=splice.pdf + dot -Tpdf -o splice.pdf splice.dot + for dot_file in *.dot + pdf_file=submod_00.pdf + dot -Tpdf -o submod_00.pdf submod_00.dot + for dot_file in *.dot + pdf_file=submod_01.pdf + dot -Tpdf -o submod_01.pdf submod_01.dot + for dot_file in *.dot + pdf_file=submod_02.pdf + dot -Tpdf -o submod_02.pdf submod_02.dot + for dot_file in *.dot + pdf_file=submod_03.pdf + dot -Tpdf -o submod_03.pdf submod_03.dot + for dot_file in *.dot + pdf_file=sumprod_00.pdf + dot -Tpdf -o sumprod_00.pdf sumprod_00.dot + for dot_file in *.dot + pdf_file=sumprod_01.pdf + dot -Tpdf -o sumprod_01.pdf sumprod_01.dot + for dot_file in *.dot + pdf_file=sumprod_02.pdf + dot -Tpdf -o sumprod_02.pdf sumprod_02.dot + for dot_file in *.dot + pdf_file=sumprod_03.pdf + dot -Tpdf -o sumprod_03.pdf sumprod_03.dot + for dot_file in *.dot + pdf_file=sumprod_04.pdf + dot -Tpdf -o sumprod_04.pdf sumprod_04.dot + for dot_file in *.dot + pdf_file=sumprod_05.pdf + dot -Tpdf -o sumprod_05.pdf sumprod_05.dot + cd .. ++ '[' -f APPNOTE_011_Design_Investigation.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_011_Design_Investigation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_011_Design_Investigation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file APPNOTE_011_Design_Investigation.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros LaTeX Warning: Citation `yosys' on page 1 undefined on input line 61. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 74. LaTeX Warning: Citation `graphviz' on page 1 undefined on input line 76. LaTeX Warning: Citation `xdot' on page 1 undefined on input line 77. LaTeX Warning: Reference `intro_show' on page 1 undefined on input line 83. LaTeX Warning: Reference `navigate' on page 1 undefined on input line 86. LaTeX Warning: Reference `poke' on page 1 undefined on input line 90. LaTeX Warning: Reference `conclusion' on page 1 undefined on input line 93. LaTeX Warning: Reference `example_src' on page 1 undefined on input line 124. LaTeX Warning: Reference `example_src' on page 1 undefined on input line 138. LaTeX Warning: Reference `example_out' on page 1 undefined on input line 146. [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `example_out' on page 2 undefined on input line 195. (./APPNOTE_011_Design_Investigation/splice.v Overfull \hbox (5.80966pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] LaTeX Warning: Reference `splice_dia' on page 2 undefined on input line 226. LaTeX Warning: Reference `splice_src' on page 2 undefined on input line 226. Underfull \hbox (badness 10000) in paragraph at lines 229--236 []\T1/LinuxLibertineT-TLF/m/n/9 The key el-e-ments in un-der-stand-ing this cir -cuit Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 di-a-gram are of course the boxes with round cor- ners Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 and rows la-beled \T1/LinuxLibertineMonoT-TLF/reg ular/n/9 : -- Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineMonoT-TLF/regular/n/9 :\T1/LinuxLiberti neT-TLF/m/n/9 . Each of this boxes has LaTeX Warning: Reference `splitnets_libfile' on page 2 undefined on input line 246. [2pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 1412) in paragraph at lines 263--268 []\T1/LinuxLibertineT-TLF/m/n/9 In ad-di-tion to that, the 2nd di-a-gram was ge n-er-ated af-ter LaTeX Warning: Reference `example_src' on page 3 undefined on input line 337. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 355. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 355. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 369. LaTeX Warning: Reference `example_src' on page 3 undefined on input line 370. LaTeX Warning: Reference `lscd' on page 3 undefined on input line 372. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 373. LaTeX Warning: Reference `dump2' on page 3 undefined on input line 386. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 398. LaTeX Warning: Reference `example_out' on page 3 undefined on input line 398. [3pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} ] LaTeX Warning: Reference `seladd' on page 4 undefined on input line 412. LaTeX Warning: Reference `seladd' on page 4 undefined on input line 420. (./APPNOTE_011_Design_Investigation/foobaraddsub.v Overfull \hbox (32.80994pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./APPNOTE_011_Design_Investigation/sumprod.v) LaTeX Warning: Reference `sumprod' on page 4 undefined on input line 455. LaTeX Warning: Reference `foobaraddsub' on page 4 undefined on input line 470. LaTeX Warning: Reference `sumprod' on page 4 undefined on input line 485. LaTeX Warning: Reference `sumprod_00' on page 4 undefined on input line 491. [4pdfTeX warning (ext4): destination with the same identifier (name{figure.8}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 10}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 11}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 <./APPNOTE_011_Design_Investigation/example_03.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `sumprod_01' on page 5 undefined on input line 500. LaTeX Warning: Reference `sumprod' on page 5 undefined on input line 504. LaTeX Warning: Reference `sumprod_01' on page 5 undefined on input line 510. LaTeX Warning: Reference `select_prod' on page 5 undefined on input line 519. LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 552. LaTeX Warning: Reference `memdemo_00' on page 5 undefined on input line 555. (./APPNOTE_011_Design_Investigation/memdemo.v Overfull \hbox (43.61005pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (5.80966pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) LaTeX Warning: Reference `memdemo_src' on page 5 undefined on input line 565. [5pdfTeX warning (ext4): destination with the same identifier (name{figure.12}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.13}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.14}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] <./APPNOTE_011_Design_Investigation/sumprod_01.pdf > <./APPNOTE_011_Design_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 10000) in paragraph at lines 610--610 \T1/LinuxLibertineT-TLF/m/n/8 Figure 16. []Output of \T1/LinuxLibertineMonoT-T LF/regular/n/8 show y %ci2:+$dff[Q,D] LaTeX Warning: Reference `memdemo_01' on page 6 undefined on input line 632. LaTeX Warning: Reference `submod' on page 6 undefined on input line 681. LaTeX Warning: Reference `memdemo_src' on page 6 undefined on input line 682. LaTeX Warning: Reference `memdemo_00' on page 6 undefined on input line 682. Overfull \hbox (17.20978pt too wide) in paragraph at lines 692--693 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 693--694 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 694--695 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `memdemo_src' on page 6 undefined on input line 699. LaTeX Warning: Reference `memdemo_00' on page 6 undefined on input line 699. [6pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.16}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNO TE_011_Design_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] LaTeX Warning: Reference `submod' on page 7 undefined on input line 706. Overfull \hbox (79.51968pt too wide) in paragraph at lines 710--710 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1 Overfull \hbox (34.71973pt too wide) in paragraph at lines 712--712 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 9. Executing EVAL pass (evaluate t he circuit given an input).[] Overfull \hbox (83.99968pt too wide) in paragraph at lines 713--713 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1[] Overfull \hbox (39.19972pt too wide) in paragraph at lines 731--731 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set-undef -set d[3:1] 0 -table s1,d[0] Overfull \hbox (39.19972pt too wide) in paragraph at lines 733--733 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 10. Executing EVAL pass (evaluate the circuit given an input).[] Overfull \hbox (43.67972pt too wide) in paragraph at lines 734--734 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set-undef -set d[3:1] 0 -table s1,d[0][] Overfull \hbox (3.35976pt too wide) in paragraph at lines 747--747 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Assumed undef (x) value for the fo llowing signals: \s2[] (./APPNOTE_011_Design_Investigation/primetest.v) LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. LaTeX Warning: Reference `primesat' on page 7 undefined on input line 762. Overfull \hbox (14.51244pt too wide) in paragraph at lines 806--807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Reference `primetest' on page 7 undefined on input line 825. LaTeX Warning: Citation `CircuitSAT' on page 7 undefined on input line 834. LaTeX Warning: Citation `MiniSAT' on page 7 undefined on input line 834. Overfull \hbox (52.63971pt too wide) in paragraph at lines 842--842 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> sat -show s1,s2, d -set s1 s2 -set n2,n1 4'b1001 Overfull \hbox (34.71973pt too wide) in paragraph at lines 844--844 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 11. Executing SAT pass (solving SA T problems in the circuit).[] Overfull \hbox (57.1197pt too wide) in paragraph at lines 845--845 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: sat -show s1,s2 ,d -set s1 s2 -set n2,n1 4'b1001[] Overfull \hbox (25.75974pt too wide) in paragraph at lines 850--850 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Final constraint equation: { \n2 \ n1 \s1 } = { 4'1001 \s2 }[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 857--857 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Signal Name Dec Hex Bin[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 858--858 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 -------------------- ---------- ---------- ---------------[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 859--859 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \d 9 9 1001[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 860--860 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s1 0 0 00[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 861--861 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s2 0 0 00[] [7pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 pdfTeX warning (ext4): destination with the same identifier (name{figure. 18}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 <./APPNOTE_011_Design_Investigation/submod_00.pdf> <./APPNOTE_011_Design _Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \vbox (badness 10000) has occurred while \output is active LaTeX Warning: Reference `primetest' on page 8 undefined on input line 875. LaTeX Warning: Reference `primesat' on page 8 undefined on input line 879. LaTeX Warning: Reference `primesat' on page 8 undefined on input line 887. [8pdfTeX warning (ext4): destination with the same identifier (name{figure.19}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.889 ] Overfull \hbox (29.8099pt too wide) in paragraph at lines 904--905 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 905--907 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (25.60986pt too wide) in paragraph at lines 907--908 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (29.8099pt too wide) in paragraph at lines 908--909 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 909--911 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (38.20999pt too wide) in paragraph at lines 939--940 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.20999pt too wide) in paragraph at lines 940--942 [][][][][][][][][][][][][][][] Overfull \hbox (8.8097pt too wide) in paragraph at lines 945--946 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 949--950 [][][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 950--951 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 951--952 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 952--953 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 953--954 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 954--955 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 955--956 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 956--957 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 957--958 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 958--959 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 959--960 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 960--961 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 961--962 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 962--963 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 963--964 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 964--965 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 965--966 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 966--967 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 967--968 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 968--969 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 969--970 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 970--971 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 971--972 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 972--973 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 973--974 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 974--975 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 975--976 [][][][][][][][][][][][][][][] LaTeX Warning: Reference `memdemo_src' on page 9 undefined on input line 977. LaTeX Warning: Reference `memdemo_src' on page 9 undefined on input line 977. LaTeX Warning: Float too large for page by 81.95883pt on input line 979. LaTeX Warning: Reference `memdemo_src' on page 9 undefined on input line 983. LaTeX Warning: Reference `memdemo_sat' on page 9 undefined on input line 986. Overfull \hbox (18.71974pt too wide) in paragraph at lines 990--990 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 sat -seq 6 -show y -show d -set-in it-undef \ Overfull \hbox (76.31969pt too wide) in paragraph at lines 991--991 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 -max_undef -set-at 4 y 1 -set-at 5 y 2 -set-at 6 y 3[] LaTeX Warning: Citation `tip' on page 9 undefined on input line 1020. (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [9] [10pdfTeX warnin g (ext4): destination with the same identifier (name{figure.20}) has been alrea dy used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_011_Design_Investigation.pdf (10 pages, 548525 bytes) . Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='84d65d66e23d2011bb1a59e9a837b7da -' + '[' '' '!=' '84d65d66e23d2011bb1a59e9a837b7da -' ']' + old_md5='84d65d66e23d2011bb1a59e9a837b7da -' + pdflatex -shell-escape -halt-on-error APPNOTE_011_Design_Investigation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_011_Design_Investigation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./APPNOTE_011_Design_Investigation.aux) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX wa rning (ext4): destination with the same identifier (name{figure.1}) has been al ready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 pdfTeX warning (ext4): destination with the same identifier (name{figure. 2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.177 <./APPNOTE_011_Design_Investigation/example_00.pdf> <./APPNOTE_011_Desig n_Investigation/example_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/example_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/example_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/splice.v Overfull \hbox (5.80966pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) Underfull \hbox (badness 10000) in paragraph at lines 213--216 [][] Underfull \hbox (badness 10000) in paragraph at lines 229--236 []\T1/LinuxLibertineT-TLF/m/n/9 The key el-e-ments in un-der-stand-ing this cir -cuit Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 di-a-gram are of course the boxes with round cor- ners Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineT-TLF/m/n/9 and rows la-beled \T1/LinuxLibertineMonoT-TLF/reg ular/n/9 : -- Underfull \hbox (badness 10000) in paragraph at lines 229--236 \T1/LinuxLibertineMonoT-TLF/regular/n/9 :\T1/LinuxLiberti neT-TLF/m/n/9 . Each of this boxes has [2pdfTeX warning (ext4): destination with the same identifier (name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 pdfTeX warning (ext4): destination with the same identifier (name{figure. 5}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.262 <./APPNOTE_011_Design_Investigation/splice.pdf> <./APPNOTE_011_Design_In vestigation/cmos_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_00.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/cmos_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/cmos_01.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 1412) in paragraph at lines 263--268 []\T1/LinuxLibertineT-TLF/m/n/9 In ad-di-tion to that, the 2nd di-a-gram was ge n-er-ated af-ter [3pdfTeX warning (ext4): destination with the same identifier (name{figure.6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.400 \end{figure} ] (./APPNOTE_011_Design_Investigation/foobaraddsub.v Overfull \hbox (32.80994pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./APPNOTE_011_Design_Investigation/sumprod.v) [4pdfTeX warning (ext4): desti nation with the same identifier (name{figure.8}) has been already used, duplica te ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 10}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 pdfTeX warning (ext4): destination with the same identifier (name{figure. 11}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.496 <./APPNOTE_011_Design_Investigation/example_03.pdf> <./APPNOTE_011_Desig n_Investigation/sumprod_00.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_00.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] (./APPNOTE_011_Design_Investigation/memdemo.v Overfull \hbox (43.61005pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (5.80966pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [5pdfTeX warning (ext4): destination with the same identifier (name{figure.12 }) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.13}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] pdfTeX warning (ext4): destination with the same id entifier (name{figure.14}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.586 show y %ci2:+$dff[Q,D] <./APPNOTE_011_Design_Investigation/sumprod_01.pdf > <./APPNOTE_011_Design_Investigation/sumprod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_02.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_03.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_04.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_04.pd f): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/sumprod_05.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/sumprod_05.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \hbox (badness 10000) in paragraph at lines 610--610 \T1/LinuxLibertineT-TLF/m/n/8 Figure 16. []Output of \T1/LinuxLibertineMonoT-T LF/regular/n/8 show y %ci2:+$dff[Q,D] Overfull \hbox (17.20978pt too wide) in paragraph at lines 692--693 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 693--694 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (34.00995pt too wide) in paragraph at lines 694--695 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [6pdfTeX warning (ext4): destination with the same identifier (name{figure.15}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.16}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.701 \end{figure} <./APPNOTE_011_Design_Investigation/memdemo_00.pdf> <./APPNO TE_011_Design_Investigation/memdemo_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/memdemo_01.pd f): PDF inclusion: multiple pdfs with page group included in a single page >] Overfull \hbox (79.51968pt too wide) in paragraph at lines 710--710 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1 Overfull \hbox (34.71973pt too wide) in paragraph at lines 712--712 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 9. Executing EVAL pass (evaluate t he circuit given an input).[] Overfull \hbox (83.99968pt too wide) in paragraph at lines 713--713 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set s2,s1 4'b1001 -set d 4'hc -show n2 -show n1[] Overfull \hbox (39.19972pt too wide) in paragraph at lines 731--731 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> eval -set-undef -set d[3:1] 0 -table s1,d[0] Overfull \hbox (39.19972pt too wide) in paragraph at lines 733--733 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 10. Executing EVAL pass (evaluate the circuit given an input).[] Overfull \hbox (43.67972pt too wide) in paragraph at lines 734--734 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: eval -set-undef -set d[3:1] 0 -table s1,d[0][] Overfull \hbox (3.35976pt too wide) in paragraph at lines 747--747 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Assumed undef (x) value for the fo llowing signals: \s2[] (./APPNOTE_011_Design_Investigation/primetest.v) Overfull \hbox (14.51244pt too wide) in paragraph at lines 806--807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (52.63971pt too wide) in paragraph at lines 842--842 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 yosys [selstage]> sat -show s1,s2, d -set s1 s2 -set n2,n1 4'b1001 Overfull \hbox (34.71973pt too wide) in paragraph at lines 844--844 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 11. Executing SAT pass (solving SA T problems in the circuit).[] Overfull \hbox (57.1197pt too wide) in paragraph at lines 845--845 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Full command line: sat -show s1,s2 ,d -set s1 s2 -set n2,n1 4'b1001[] Overfull \hbox (25.75974pt too wide) in paragraph at lines 850--850 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Final constraint equation: { \n2 \ n1 \s1 } = { 4'1001 \s2 }[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 857--857 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 Signal Name Dec Hex Bin[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 858--858 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 -------------------- ---------- ---------- ---------------[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 859--859 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \d 9 9 1001[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 860--860 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s1 0 0 00[] Overfull \hbox (30.23973pt too wide) in paragraph at lines 861--861 [] \T1/LinuxLibertineMonoT-TLF/regular/n/7 \s2 0 0 00[] [7pdfTeX warning (ext4): destination with the same identifier (name{figure.17}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 pdfTeX warning (ext4): destination with the same identifier (name{figure. 18}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.874 <./APPNOTE_011_Design_Investigation/submod_00.pdf> <./APPNOTE_011_Design _Investigation/submod_01.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_01.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_02.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_02.pdf ): PDF inclusion: multiple pdfs with page group included in a single page > <./APPNOTE_011_Design_Investigation/submod_03.pdf pdfTeX warning: pdflatex (file ./APPNOTE_011_Design_Investigation/submod_03.pdf ): PDF inclusion: multiple pdfs with page group included in a single page >] Underfull \vbox (badness 10000) has occurred while \output is active [8pdfTeX w arning (ext4): destination with the same identifier (name{figure.19}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.889 ] Overfull \hbox (29.8099pt too wide) in paragraph at lines 904--905 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 905--907 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (25.60986pt too wide) in paragraph at lines 907--908 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (29.8099pt too wide) in paragraph at lines 908--909 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.60986pt too wide) in paragraph at lines 909--911 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (38.20999pt too wide) in paragraph at lines 939--940 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (38.20999pt too wide) in paragraph at lines 940--942 [][][][][][][][][][][][][][][] Overfull \hbox (8.8097pt too wide) in paragraph at lines 945--946 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 949--950 [][][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 950--951 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 951--952 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 952--953 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 953--954 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 954--955 [][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 955--956 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 956--957 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 957--958 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 958--959 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 959--960 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 960--961 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 961--962 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 962--963 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 963--964 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 964--965 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 965--966 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 966--967 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 967--968 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 968--969 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 969--970 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 970--971 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 971--972 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 972--973 [][][][][][][][][][][][][][][] Overfull \hbox (46.61008pt too wide) in paragraph at lines 973--974 [][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 974--975 [][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 975--976 [][][][][][][][][][][][][][][] LaTeX Warning: Float too large for page by 81.95883pt on input line 979. Overfull \hbox (18.71974pt too wide) in paragraph at lines 990--990 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 sat -seq 6 -show y -show d -set-in it-undef \ Overfull \hbox (76.31969pt too wide) in paragraph at lines 991--991 [] \T1/LinuxLibertineMonoT-TLF/regular/n/9 -max_undef -set-at 4 y 1 -set-at 5 y 2 -set-at 6 y 3[] (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [9] [10pdfTeX warnin g (ext4): destination with the same identifier (name{figure.20}) has been alrea dy used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.1070 \end{document} ] (./APPNOTE_011_Design_Investigation.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_011_Design_Investigation.pdf (10 pages, 550668 bytes) . Transcript written on APPNOTE_011_Design_Investigation.log. ++ md5sum + new_md5='84d65d66e23d2011bb1a59e9a837b7da -' + '[' '84d65d66e23d2011bb1a59e9a837b7da -' '!=' '84d65d66e23d2011bb1a59e9a837b7da -' ']' + touch APPNOTE_011_Design_Investigation.ok + for job in APPNOTE_010_Verilog_to_BLIF APPNOTE_011_Design_Investigation APPNOTE_012_Verilog_to_BTOR + '[' -f APPNOTE_012_Verilog_to_BTOR.ok -a APPNOTE_012_Verilog_to_BTOR.ok -nt APPNOTE_012_Verilog_to_BTOR.tex ']' + '[' -f APPNOTE_012_Verilog_to_BTOR/make.sh ']' ++ '[' -f APPNOTE_012_Verilog_to_BTOR.aux ']' ++ true + old_md5= + pdflatex -shell-escape -halt-on-error APPNOTE_012_Verilog_to_BTOR.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_012_Verilog_to_BTOR.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file APPNOTE_012_Verilog_to_BTOR.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros LaTeX Warning: Citation `btor' on page 1 undefined on input line 61. LaTeX Warning: Citation `yosys' on page 1 undefined on input line 65. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) LaTeX Warning: Citation `yosys' on page 1 undefined on input line 85. LaTeX Warning: Citation `btor' on page 1 undefined on input line 94. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) LaTeX Warning: Reference `specifying_property_assert' on page 1 undefined on in put line 118. LaTeX Warning: Reference `specifying_property_output' on page 1 undefined on in put line 123. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) Overfull \hbox (12.60242pt too wide) in paragraph at lines 128--130 [][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Citation `boolector' on page 1 undefined on input line 177. LaTeX Warning: Citation `nuxmv' on page 1 undefined on input line 192. LaTeX Warning: Reference `btor_script_memory' on page 1 undefined on input line 202. Overfull \hbox (1.8023pt too wide) in paragraph at lines 208--209 [][][][][][][][][][][][][][][][][][][] [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} ] LaTeX Warning: Reference `btor_script_without_memory' on page 2 undefined on in put line 257. Overfull \hbox (1.8023pt too wide) in paragraph at lines 263--264 [][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active Overfull \hbox (7.20236pt too wide) in paragraph at lines 298--300 [][][][][][][][][][][][][][][][][][][][][][][] LaTeX Warning: Reference `btor_script_memory' on page 2 undefined on input line 308. Underfull \vbox (badness 10000) has occurred while \output is active [2pdfTeX w arning (ext4): destination with the same identifier (name{figure.5}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 ] LaTeX Warning: Reference `btor_script_without_memory' on page 3 undefined on in put line 347. Underfull \vbox (badness 10000) has occurred while \output is active [3pdfTeX w arning (ext4): destination with the same identifier (name{figure.8}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 ] [4] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (4 pages, 368023 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='8be0190d527c7a2741d88e89423b231d -' + '[' '' '!=' '8be0190d527c7a2741d88e89423b231d -' ']' + old_md5='8be0190d527c7a2741d88e89423b231d -' + pdflatex -shell-escape -halt-on-error APPNOTE_012_Verilog_to_BTOR.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./APPNOTE_012_Verilog_to_BTOR.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/IEEEtran/IEEEtran.cls Document Class: IEEEtran 2015/08/26 V1.8b by Michael Shell -- See the "IEEEtran_HOWTO" manual for usage information. -- http://www.michaelshell.org/tex/ieeetran/ (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ot1ptm.fd) -- Using 210mm x 297mm (a4) paper. -- Using PDF output. -- This is a 9 point document. ) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd)) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/eurosym/eurosym.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algpseudocode.sty (/usr/share/texlive/texmf-dist/tex/latex/algorithmicx/algorithmicx.sty Document Style algorithmicx 1.2 - a greatly improved `algorithmic' style ) Document Style - pseudocode environments for use with the `algorithmicx' style ) (/usr/share/texlive/texmf-dist/tex/latex/carlisle/scalefnt.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/color.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/hhline.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./APPNOTE_012_Verilog_to_BTOR.aux LaTeX Warning: Label `example_btor' multiply defined. ) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) -- Lines per column: 63 (exact). (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) Overfull \hbox (12.60242pt too wide) in paragraph at lines 128--130 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.8023pt too wide) in paragraph at lines 208--209 [][][][][][][][][][][][][][][][][][][] [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}pdfTeX warn ing (ext4): destination with the same identifier (name{figure.1}) has been alre ady used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.2}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.3}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} pdfTeX warning (ext4): destination with the same identifier ( name{figure.4}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.225 \end{figure} ] Overfull \hbox (1.8023pt too wide) in paragraph at lines 263--264 [][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active Overfull \hbox (7.20236pt too wide) in paragraph at lines 298--300 [][][][][][][][][][][][][][][][][][][][][][][] Underfull \vbox (badness 10000) has occurred while \output is active [2pdfTeX w arning (ext4): destination with the same identifier (name{figure.5}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 6}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 pdfTeX warning (ext4): destination with the same identifier (name{figure. 7}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.345 ] Underfull \vbox (badness 10000) has occurred while \output is active [3pdfTeX w arning (ext4): destination with the same identifier (name{figure.8}) has been a lready used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 pdfTeX warning (ext4): destination with the same identifier (name{figure. 9}) has been already used, duplicate ignored \AtBegShi@Output ...ipout \box \AtBeginShipoutBox \fi \fi l.431 ] [4] (./APPNOTE_012_Verilog_to_BTOR.aux) LaTeX Warning: There were multiply-defined labels. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/libertine/lbtn_dhi6qf.enc}{/usr/share/texlive/texmf-dist/fonts/en c/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvip s/libertine/lbtn_ki75ao.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libe rtine/lbtn_nh77jq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/ lbtn_76gpa5.enc} Output written on APPNOTE_012_Verilog_to_BTOR.pdf (4 pages, 368344 bytes). Transcript written on APPNOTE_012_Verilog_to_BTOR.log. ++ md5sum + new_md5='8be0190d527c7a2741d88e89423b231d -' + '[' '8be0190d527c7a2741d88e89423b231d -' '!=' '8be0190d527c7a2741d88e89423b231d -' ']' + touch APPNOTE_012_Verilog_to_BTOR.ok cd manual && bash presentation.sh + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux '*.snm' '*.nav' '*.toc' md5sum: '*.snm': No such file or directory md5sum: '*.nav': No such file or directory md5sum: '*.toc': No such file or directory + make -C PRESENTATION_Intro make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Intro' ../../yosys counter.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `counter.ys' -- 1. Executing Verilog-2005 frontend: counter.v Parsing Verilog input from `counter.v' to AST representation. Generating RTLIL representation for module `\counter'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \counter 2.2. Analyzing design hierarchy.. Top module: \counter Removed 0 unused modules. 3. Generating Graphviz representation of design. Writing dot description to `counter_00.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_00.dot' > 'counter_00.pdf.new' && mv 'counter_00.pdf.new' 'counter_00.pdf' 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$counter.v:6$1 in module counter. Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\counter.$proc$counter.v:6$1'. 1/1: $0\count[1:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\counter.\count' using process `\counter.$proc$counter.v:6$1'. created $dff cell `$procdff$8' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\counter.$proc$counter.v:6$1'. Removing empty process `counter.$proc$counter.v:6$1'. Cleaned up 2 empty switches. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 0 unused cells and 3 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 5.9. Finished OPT passes. (There is nothing left to do.) 6. Executing MEMORY pass. 6.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). 6.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 6.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 6.5. Executing MEMORY_COLLECT pass (generating $mem cells). 6.6. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops). 7. Executing OPT pass (performing simple optimizations). 7.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 7.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 7.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 7.6. Executing OPT_RMDFF pass (remove dff with constant values). 7.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 7.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 7.9. Finished OPT passes. (There is nothing left to do.) 8. Executing FSM pass (extract and optimize FSM). 8.1. Executing FSM_DETECT pass (finding FSMs in design). 8.2. Executing FSM_EXTRACT pass (extracting FSM from design). 8.3. Executing FSM_OPT pass (simple optimizations of FSMs). 8.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 8.5. Executing FSM_OPT pass (simple optimizations of FSMs). 8.6. Executing FSM_RECODE pass (re-assigning FSM state encoding). 8.7. Executing FSM_INFO pass (dumping all available information on FSM cells). 8.8. Executing FSM_MAP pass (mapping FSMs to basic logic). 9. Executing OPT pass (performing simple optimizations). 9.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 9.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 9.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 9.6. Executing OPT_RMDFF pass (remove dff with constant values). 9.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 9.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 9.9. Finished OPT passes. (There is nothing left to do.) 10. Generating Graphviz representation of design. Writing dot description to `counter_01.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_01.dot' > 'counter_01.pdf.new' && mv 'counter_01.pdf.new' 'counter_01.pdf' 11. Executing TECHMAP pass (map to technology primitives). 11.1. Executing Verilog-2005 frontend: Parsing Verilog input from `' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 11.2. Continuing TECHMAP pass. Running "alumacc" on wrapper $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using template $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47 for cells of type $extern:wrap:$add:A_SIGNED=0:A_WIDTH=2:B_SIGNED=0:B_WIDTH=2:Y_WIDTH=2:394426c56d1a028ba8fdd5469b163e04011def47. Using extmapper simplemap for cells of type $mux. Using extmapper simplemap for cells of type $dff. Using template $paramod\_90_alu\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=2\Y_WIDTH=2 for cells of type $alu. Using extmapper simplemap for cells of type $and. Using extmapper simplemap for cells of type $xor. Using template $paramod\_90_lcu\WIDTH=2 for cells of type $lcu. Using extmapper simplemap for cells of type $not. Using extmapper simplemap for cells of type $pos. Using extmapper simplemap for cells of type $or. No more expansions possible. 12. Executing OPT pass (performing simple optimizations). 12.1. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.6. Executing OPT_RMDFF pass (remove dff with constant values). 12.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. Removed 1 unused cells and 32 unused wires. 12.8. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.9. Rerunning OPT passes. (Maybe there is more to do..) 12.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \counter.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 12.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \counter. Performed a total of 0 changes. 12.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\counter'. Removed a total of 0 cells. 12.13. Executing OPT_RMDFF pass (remove dff with constant values). 12.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \counter.. 12.15. Executing OPT_EXPR pass (perform const folding). Optimizing module counter. 12.16. Finished OPT passes. (There is nothing left to do.) 13. Executing SPLITNETS pass (splitting up multi-bit signals). 14. Generating Graphviz representation of design. Writing dot description to `counter_02.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_02.dot' > 'counter_02.pdf.new' && mv 'counter_02.pdf.new' 'counter_02.pdf' 15. Executing DFFLIBMAP pass (mapping DFF cells to sequential cells from liberty file). cell DFF (noninv, pins=3, area=18.00) is a direct match for cell type $_DFF_P_. create mapping for $_DFF_N_ from mapping for $_DFF_P_. final dff cell mappings: DFF _DFF_N_ (.C(~C), .D( D), .Q( Q)); DFF _DFF_P_ (.C( C), .D( D), .Q( Q)); unmapped dff cell: $_DFF_NN0_ unmapped dff cell: $_DFF_NN1_ unmapped dff cell: $_DFF_NP0_ unmapped dff cell: $_DFF_NP1_ unmapped dff cell: $_DFF_PN0_ unmapped dff cell: $_DFF_PN1_ unmapped dff cell: $_DFF_PP0_ unmapped dff cell: $_DFF_PP1_ unmapped dff cell: $_DFFSR_NNN_ unmapped dff cell: $_DFFSR_NNP_ unmapped dff cell: $_DFFSR_NPN_ unmapped dff cell: $_DFFSR_NPP_ unmapped dff cell: $_DFFSR_PNN_ unmapped dff cell: $_DFFSR_PNP_ unmapped dff cell: $_DFFSR_PPN_ unmapped dff cell: $_DFFSR_PPP_ Mapping DFF cells in module `\counter': mapped 2 $_DFF_P_ cells to \DFF cells. 16. Executing ABC pass (technology mapping using ABC). 16.1. Extracting gate netlist of module `\counter' to `/input.blif'.. Extracted 6 gates and 12 wires to a netlist network with 4 inputs and 2 outputs. 16.1.1. Executing ABC. Running ABC command: /usr/bin/abc -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_Intro/mycells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Library "demo" from "/manual/PRESENTATION_Intro/mycells.lib" has 4 cells (1 skipped: 1 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + ifraig ABC: + scorr ABC: Warning: The network is combinational (run "fraig" or "fraig_sweep"). ABC: + dc2 ABC: + dretime ABC: + retime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 16.1.2. Re-integrating ABC results. ABC RESULTS: NAND cells: 4 ABC RESULTS: NOR cells: 4 ABC RESULTS: NOT cells: 3 ABC RESULTS: internal signals: 6 ABC RESULTS: input signals: 4 ABC RESULTS: output signals: 2 Removing temp directory. Removed 0 unused cells and 10 unused wires. 17. Generating Graphviz representation of design. 17.1. Executing Verilog-2005 frontend: mycells.v Parsing Verilog input from `mycells.v' to AST representation. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Successfully finished Verilog frontend. 17.2. Continuing show pass. Writing dot description to `counter_03.dot'. Dumping module counter to page 1. Exec: dot -Tpdf 'counter_03.dot' > 'counter_03.pdf.new' && mv 'counter_03.pdf.new' 'counter_03.pdf' End of script. Logfile hash: 543ce2bb49 CPU: user 0.10s system 0.01s, MEM: 17.19 MB total, 10.98 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 18% 9x opt_clean (0 sec), 17% 4x read_verilog (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Intro' + make -C PRESENTATION_ExSyn make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExSyn' ../../yosys -p 'script proc_01.ys; show -notitle -prefix proc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_01.ys; show -notitle -prefix proc_01 -format pdf' -- -- Executing script file `proc_01.ys' -- 1. Executing Verilog-2005 frontend: proc_01.v Parsing Verilog input from `proc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_01.v:2$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_01.v:2$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_01.v:2$1'. 1/1: $0\Q[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_01.v:2$1'. created $adff cell `$procdff$2' with positive edge clock and positive level reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_01.v:2$1'. Cleaned up 0 empty switches. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_01.dot' > 'proc_01.pdf.new' && mv 'proc_01.pdf.new' 'proc_01.pdf' End of script. Logfile hash: db08299fbb CPU: user 0.01s system 0.00s, MEM: 16.38 MB total, 9.72 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 26% 1x show (0 sec), 26% 1x clean (0 sec), ... ../../yosys -p 'script proc_02.ys; show -notitle -prefix proc_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_02.ys; show -notitle -prefix proc_02 -format pdf' -- -- Executing script file `proc_02.ys' -- 1. Executing Verilog-2005 frontend: proc_02.v Parsing Verilog input from `proc_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$proc_02.v:3$1 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \R in `\test.$proc$proc_02.v:3$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_02.v:3$1'. 1/1: $0\Q[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q' using process `\test.$proc$proc_02.v:3$1'. Warning: Async reset value `\RV' is not constant! created $dffsr cell `$procdff$2' with positive edge clock and positive level non-const reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$proc_02.v:3$1'. Cleaned up 0 empty switches. Removed 0 unused cells and 1 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_02.dot' > 'proc_02.pdf.new' && mv 'proc_02.pdf.new' 'proc_02.pdf' Warnings: 1 unique messages, 1 total End of script. Logfile hash: 56c089ead5 CPU: user 0.01s system 0.00s, MEM: 16.38 MB total, 9.53 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 28% 1x clean (0 sec), 26% 1x show (0 sec), ... ../../yosys -p 'script proc_03.ys; show -notitle -prefix proc_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script proc_03.ys; show -notitle -prefix proc_03 -format pdf' -- -- Executing script file `proc_03.ys' -- 1. Executing Verilog-2005 frontend: proc_03.v Parsing Verilog input from `proc_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$proc_03.v:3$1'. 1/1: $0\Y[0:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). No latch inferred for signal `\test.\Y' from process `\test.$proc$proc_03.v:3$1'. 3.7. Executing PROC_DFF pass (convert process syncs to FFs). 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 2 empty switches in `\test.$proc$proc_03.v:3$1'. Removing empty process `test.$proc$proc_03.v:3$1'. Cleaned up 2 empty switches. Removed 0 unused cells and 4 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `proc_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'proc_03.dot' > 'proc_03.pdf.new' && mv 'proc_03.pdf.new' 'proc_03.pdf' End of script. Logfile hash: fd9c05a086 CPU: user 0.01s system 0.00s, MEM: 16.38 MB total, 9.59 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 1x clean (0 sec), 25% 1x show (0 sec), ... ../../yosys -p 'script opt_01.ys; show -notitle -prefix opt_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_01.ys; show -notitle -prefix opt_01 -format pdf' -- -- Executing script file `opt_01.ys' -- 1. Executing Verilog-2005 frontend: opt_01.v Parsing Verilog input from `opt_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. dead port 2/2 on $mux $ternary$opt_01.v:2$1. Removed 1 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. New ctrl vector for $mux cell $ternary$opt_01.v:2$2: { } Optimizing cells in module \test. Performed a total of 1 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Rerunning OPT passes. (Maybe there is more to do..) 3.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.13. Executing OPT_RMDFF pass (remove dff with constant values). 3.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 3.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.16. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_01.dot' > 'opt_01.pdf.new' && mv 'opt_01.pdf.new' 'opt_01.pdf' End of script. Logfile hash: 946b2a57b6 CPU: user 0.01s system 0.00s, MEM: 16.38 MB total, 9.71 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 3x opt_expr (0 sec), 24% 3x opt_merge (0 sec), ... ../../yosys -p 'script opt_02.ys; show -notitle -prefix opt_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_02.ys; show -notitle -prefix opt_02 -format pdf' -- -- Executing script file `opt_02.ys' -- 1. Executing Verilog-2005 frontend: opt_02.v Parsing Verilog input from `opt_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_02.dot' > 'opt_02.pdf.new' && mv 'opt_02.pdf.new' 'opt_02.pdf' End of script. Logfile hash: 8ae470d74b CPU: user 0.01s system 0.00s, MEM: 16.38 MB total, 9.69 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 30% 2x opt_expr (0 sec), 22% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_03.ys; show -notitle -prefix opt_03 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_03.ys; show -notitle -prefix opt_03 -format pdf' -- -- Executing script file `opt_03.ys' -- 1. Executing Verilog-2005 frontend: opt_03.v Parsing Verilog input from `opt_03.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing OPT pass (performing simple optimizations). 3.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 3.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 3.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 3.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 3.6. Executing OPT_RMDFF pass (remove dff with constant values). 3.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 3.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 3.9. Finished OPT passes. (There is nothing left to do.) 4. Generating Graphviz representation of design. Writing dot description to `opt_03.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_03.dot' > 'opt_03.pdf.new' && mv 'opt_03.pdf.new' 'opt_03.pdf' End of script. Logfile hash: e467ab0617 CPU: user 0.01s system 0.00s, MEM: 16.38 MB total, 9.67 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 25% 2x opt_expr (0 sec), 23% 2x opt_merge (0 sec), ... ../../yosys -p 'script opt_04.ys; show -notitle -prefix opt_04 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script opt_04.ys; show -notitle -prefix opt_04 -format pdf' -- -- Executing script file `opt_04.ys' -- 1. Executing Verilog-2005 frontend: opt_04.v Parsing Verilog input from `opt_04.v' to AST representation. Generating RTLIL representation for module `\test'. Warning: wire '\Q1' is assigned in a block at opt_04.v:8. Warning: wire '\Q2' is assigned in a block at opt_04.v:12. Warning: wire '\Q2' is assigned in a block at opt_04.v:14. Warning: wire '\Q3' is assigned in a block at opt_04.v:17. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$opt_04.v:10$2 in module test. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). Found async reset \ARST in `\test.$proc$opt_04.v:10$2'. Found async reset \ARST in `\test.$proc$opt_04.v:6$1'. 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$opt_04.v:16$3'. 1/1: $0\Q3[7:0] Creating decoders for process `\test.$proc$opt_04.v:10$2'. 1/1: $0\Q2[7:0] Creating decoders for process `\test.$proc$opt_04.v:6$1'. 1/1: $0\Q1[7:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\Q3' using process `\test.$proc$opt_04.v:16$3'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\Q2' using process `\test.$proc$opt_04.v:10$2'. created $adff cell `$procdff$5' with positive edge clock and positive level reset. Creating register for signal `\test.\Q1' using process `\test.$proc$opt_04.v:6$1'. created $adff cell `$procdff$6' with positive edge clock and positive level reset. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$opt_04.v:16$3'. Removing empty process `test.$proc$opt_04.v:10$2'. Removing empty process `test.$proc$opt_04.v:6$1'. Cleaned up 0 empty switches. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_RMDFF pass (remove dff with constant values). Removing $procdff$6 ($adff) from module test. Removing $procdff$5 ($adff) from module test. Removing $procdff$4 ($dff) from module test. Replaced 3 DFF cells. 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_RMDFF pass (remove dff with constant values). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `opt_04.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'opt_04.dot' > 'opt_04.pdf.new' && mv 'opt_04.pdf.new' 'opt_04.pdf' Warnings: 4 unique messages, 4 total End of script. Logfile hash: 35bed86fa8 CPU: user 0.02s system 0.00s, MEM: 16.38 MB total, 9.89 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 21% 3x opt_expr (0 sec), 20% 3x opt_merge (0 sec), ... ../../yosys -p 'script memory_01.ys; show -notitle -prefix memory_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script memory_01.ys; show -notitle -prefix memory_01 -format pdf' -- -- Executing script file `memory_01.ys' -- 1. Executing Verilog-2005 frontend: memory_01.v Parsing Verilog input from `memory_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_01.v:5$2'. 1/4: $0\DOUT[7:0] 2/4: $0$memwr$\mem$memory_01.v:6$1_EN[7:0]$3 3/4: $0$memwr$\mem$memory_01.v:6$1_DATA[7:0]$5 4/4: $0$memwr$\mem$memory_01.v:6$1_ADDR[0:0]$4 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_EN' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_ADDR' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$9' with positive edge clock. Creating register for signal `\test.$memwr$\mem$memory_01.v:6$1_DATA' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$10' with positive edge clock. Creating register for signal `\test.\DOUT' using process `\test.$proc$memory_01.v:5$2'. created $dff cell `$procdff$11' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_01.v:5$2'. Cleaned up 0 empty switches. Removed 0 unused cells and 4 unused wires. 4. Executing MEMORY pass. 4.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). Checking cell `$memwr$\mem$memory_01.v:6$7' in module `\test': merged $dff to cell. Checking cell `$memrd$\mem$memory_01.v:7$6' in module `\test': merged data $dff to cell. 4.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 4 unused cells and 5 unused wires. 4.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). 4.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.5. Executing MEMORY_COLLECT pass (generating $mem cells). Collecting $memrd, $memwr and $meminit for memory `\mem' in module `\test': $memwr$\mem$memory_01.v:6$7 ($memwr) $memrd$\mem$memory_01.v:7$6 ($memrd) 4.6. Executing MEMORY_MAP pass (converting $mem cells to logic and flip-flops). Mapping memory cell \mem in module \test: created 2 $dff cells and 0 static cells of width 8. read interface: 1 $dff and 1 $mux cells. write interface: 2 write mux blocks. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 6 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_RMDFF pass (remove dff with constant values). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'memory_01.dot' > 'memory_01.pdf.new' && mv 'memory_01.pdf.new' 'memory_01.pdf' End of script. Logfile hash: 6e79952879 CPU: user 0.03s system 0.00s, MEM: 16.49 MB total, 10.11 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 23% 4x opt_clean (0 sec), 18% 3x opt_expr (0 sec), ... ../../yosys -p 'script memory_02.ys; show -notitle -prefix memory_02 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script memory_02.ys; show -notitle -prefix memory_02 -format pdf' -- -- Executing script file `memory_02.ys' -- 1. Executing Verilog-2005 frontend: memory_02.v Parsing Verilog input from `memory_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$memory_02.v:24$13'. 1/1: $0\RD2_DATA[7:0] Creating decoders for process `\test.$proc$memory_02.v:21$11'. 1/1: $0\RD1_DATA[7:0] Creating decoders for process `\test.$proc$memory_02.v:17$7'. 1/3: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 2/3: $0$memwr$\memory$memory_02.v:19$2_DATA[7:0]$9 3/3: $0$memwr$\memory$memory_02.v:19$2_ADDR[7:0]$8 Creating decoders for process `\test.$proc$memory_02.v:13$3'. 1/3: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 2/3: $0$memwr$\memory$memory_02.v:15$1_DATA[7:0]$5 3/3: $0$memwr$\memory$memory_02.v:15$1_ADDR[7:0]$4 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\RD2_DATA' using process `\test.$proc$memory_02.v:24$13'. created $dff cell `$procdff$29' with positive edge clock. Creating register for signal `\test.\RD1_DATA' using process `\test.$proc$memory_02.v:21$11'. created $dff cell `$procdff$30' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_ADDR' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$31' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_DATA' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$32' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:19$2_EN' using process `\test.$proc$memory_02.v:17$7'. created $dff cell `$procdff$33' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_ADDR' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$34' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_DATA' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$35' with positive edge clock. Creating register for signal `\test.$memwr$\memory$memory_02.v:15$1_EN' using process `\test.$proc$memory_02.v:13$3'. created $dff cell `$procdff$36' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$memory_02.v:24$13'. Removing empty process `test.$proc$memory_02.v:21$11'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:17$7'. Removing empty process `test.$proc$memory_02.v:17$7'. Found and cleaned up 1 empty switch in `\test.$proc$memory_02.v:13$3'. Removing empty process `test.$proc$memory_02.v:13$3'. Cleaned up 2 empty switches. Removed 0 unused cells and 14 unused wires. 4. Executing MEMORY pass. 4.1. Executing MEMORY_DFF pass (merging $dff cells to $memrd and $memwr). Checking cell `$memwr$\memory$memory_02.v:15$15' in module `\test': merged $dff to cell. Checking cell `$memwr$\memory$memory_02.v:19$16' in module `\test': merged $dff to cell. Checking cell `$memrd$\memory$memory_02.v:22$12' in module `\test': merged data $dff to cell. Checking cell `$memrd$\memory$memory_02.v:25$14' in module `\test': merged data $dff to cell. 4.2. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 8 unused cells and 10 unused wires. 4.3. Executing MEMORY_SHARE pass (consolidating $memrd/$memwr cells). Consolidating write ports of memory test.memory by address: New clock domain: posedge \WR1_CLK Port 0 ($memwr$\memory$memory_02.v:15$15) has addr \WR1_ADDR. Active bits: 11111111 New clock domain: posedge \WR2_CLK Port 1 ($memwr$\memory$memory_02.v:19$16) has addr \WR2_ADDR. Active bits: 11111111 Consolidating write ports of memory test.memory using sat-based resource sharing: Port 0 ($memwr$\memory$memory_02.v:15$15) on posedge \WR1_CLK: considered Port 1 ($memwr$\memory$memory_02.v:19$16) on posedge \WR2_CLK: considered No two subsequent ports in same clock domain considered -> nothing to consolidate. 4.4. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.5. Executing MEMORY_COLLECT pass (generating $mem cells). Collecting $memrd, $memwr and $meminit for memory `\memory' in module `\test': $memwr$\memory$memory_02.v:15$15 ($memwr) $memwr$\memory$memory_02.v:19$16 ($memwr) $memrd$\memory$memory_02.v:22$12 ($memrd) $memrd$\memory$memory_02.v:25$14 ($memrd) 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Consolidated identical input bits for $mux cell $procmux$23: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] New connections: $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [7:1] = { $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] $0$memwr$\memory$memory_02.v:15$1_EN[7:0]$6 [0] } Consolidated identical input bits for $mux cell $procmux$17: Old ports: A=8'00000000, B=8'11111111, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 New ports: A=1'0, B=1'1, Y=$0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] New connections: $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [7:1] = { $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] $0$memwr$\memory$memory_02.v:19$2_EN[7:0]$10 [0] } Optimizing cells in module \test. Performed a total of 2 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 4 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Rerunning OPT passes. (Maybe there is more to do..) 5.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.13. Executing OPT_RMDFF pass (remove dff with constant values). 5.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 2 unused wires. 5.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.16. Finished OPT passes. (There is nothing left to do.) 6. Generating Graphviz representation of design. Writing dot description to `memory_02.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'memory_02.dot' > 'memory_02.pdf.new' && mv 'memory_02.pdf.new' 'memory_02.pdf' End of script. Logfile hash: aa9233bc91 CPU: user 0.03s system 0.00s, MEM: 16.52 MB total, 10.19 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 27% 4x opt_clean (0 sec), 12% 3x opt_expr (0 sec), ... ../../yosys -p 'script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script techmap_01.ys; show -notitle -prefix techmap_01 -format pdf' -- -- Executing script file `techmap_01.ys' -- 1. Executing Verilog-2005 frontend: techmap_01.v Parsing Verilog input from `techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: techmap_01_map.v Parsing Verilog input from `techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$add\A_SIGNED=0\B_SIGNED=0\A_WIDTH=32\B_WIDTH=32\Y_WIDTH=32 for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `techmap_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'techmap_01.dot' > 'techmap_01.pdf.new' && mv 'techmap_01.pdf.new' 'techmap_01.pdf' End of script. Logfile hash: 0262846cc7 CPU: user 0.01s system 0.00s, MEM: 16.51 MB total, 9.71 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 35% 1x techmap (0 sec), 32% 1x clean (0 sec), ... ../../yosys -p 'script abc_01.ys; show -notitle -prefix abc_01 -format pdf' /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Running command `script abc_01.ys; show -notitle -prefix abc_01 -format pdf' -- -- Executing script file `abc_01.ys' -- 1. Executing Verilog-2005 frontend: abc_01.v Parsing Verilog input from `abc_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: abc_01_cells.v Parsing Verilog input from `abc_01_cells.v' to AST representation. Generating RTLIL representation for module `\BUF'. Generating RTLIL representation for module `\NOT'. Generating RTLIL representation for module `\NAND'. Generating RTLIL representation for module `\NOR'. Generating RTLIL representation for module `\DFF'. Generating RTLIL representation for module `\DFFSR'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \test 3.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$abc_01.v:5$1'. 1/3: $0\y[0:0] 2/3: $0\q2[2:0] 3/3: $0\q1[2:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\q2' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$3' with positive edge clock. Creating register for signal `\test.\y' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$4' with positive edge clock. Creating register for signal `\test.\q1' using process `\test.$proc$abc_01.v:5$1'. created $dff cell `$procdff$5' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$abc_01.v:5$1'. Cleaned up 0 empty switches. 5. Executing OPT pass (performing simple optimizations). 5.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. No muxes found in this module. Removed 0 multiplexer ports. 5.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 5.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 5.6. Executing OPT_RMDFF pass (remove dff with constant values). 5.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 0 unused cells and 3 unused wires. 5.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 5.9. Finished OPT passes. (There is nothing left to do.) 6. Executing TECHMAP pass (map to technology primitives). 6.1. Executing Verilog-2005 frontend: Parsing Verilog input from `' to AST representation. Generating RTLIL representation for module `\_90_simplemap_bool_ops'. Generating RTLIL representation for module `\_90_simplemap_reduce_ops'. Generating RTLIL representation for module `\_90_simplemap_logic_ops'. Generating RTLIL representation for module `\_90_simplemap_compare_ops'. Generating RTLIL representation for module `\_90_simplemap_various'. Generating RTLIL representation for module `\_90_simplemap_registers'. Generating RTLIL representation for module `\_90_shift_ops_shr_shl_sshl_sshr'. Generating RTLIL representation for module `\_90_shift_shiftx'. Generating RTLIL representation for module `\_90_fa'. Generating RTLIL representation for module `\_90_lcu'. Generating RTLIL representation for module `\_90_alu'. Generating RTLIL representation for module `\_90_macc'. Generating RTLIL representation for module `\_90_alumacc'. Generating RTLIL representation for module `\$__div_mod_u'. Generating RTLIL representation for module `\$__div_mod'. Generating RTLIL representation for module `\_90_div'. Generating RTLIL representation for module `\_90_mod'. Generating RTLIL representation for module `\_90_pow'. Generating RTLIL representation for module `\_90_pmux'. Generating RTLIL representation for module `\_90_lut'. Successfully finished Verilog frontend. 6.2. Continuing TECHMAP pass. Using extmapper simplemap for cells of type $dff. Using extmapper simplemap for cells of type $reduce_xor. No more expansions possible. 7. Executing ABC pass (technology mapping using ABC). 7.1. Summary of detected clock domains: 9 cells in clk=\clk, en={ } 7.2. Extracting gate netlist of module `\test' to `/input.blif'.. Found matching posedge clock domain: \clk Extracted 9 gates and 12 wires to a netlist network with 3 inputs and 1 outputs. 7.2.1. Executing ABC. Running ABC command: /usr/bin/abc -s -f /abc.script 2>&1 ABC: ABC command line: "source /abc.script". ABC: ABC: + read_blif /input.blif ABC: + read_lib -w /manual/PRESENTATION_ExSyn/abc_01_cells.lib ABC: Parsing finished successfully. Parsing time = 0.00 sec ABC: Warning: Templates are not defined. ABC: Libery parser cannot read "time_unit". Assuming time_unit : "1ns". ABC: Libery parser cannot read "capacitive_load_unit". Assuming capacitive_load_unit(1, pf). ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFF". ABC: Scl_LibertyReadGenlib() skipped sequential cell "DFFSR". ABC: Library "demo" from "/manual/PRESENTATION_ExSyn/abc_01_cells.lib" has 4 cells (2 skipped: 2 seq; 0 tri-state; 0 no func; 0 dont_use). Time = 0.00 sec ABC: Memory = 0.00 MB. Time = 0.00 sec ABC: + strash ABC: + ifraig ABC: + scorr ABC: Warning: 7 registers in this network have don't-care init values. ABC: The don't-care are assumed to be 0. The result may not verify. ABC: Use command "print_latch" to see the init values of registers. ABC: Use command "zero" to convert or "init" to change the values. ABC: + dc2 ABC: + dretime ABC: + retime ABC: + strash ABC: + &get -n ABC: + &dch -f ABC: + &nf ABC: + &put ABC: + write_blif /output.blif 7.2.2. Re-integrating ABC results. ABC RESULTS: BUF cells: 2 ABC RESULTS: NAND cells: 3 ABC RESULTS: NOR cells: 3 ABC RESULTS: NOT cells: 3 ABC RESULTS: _dff_ cells: 4 ABC RESULTS: internal signals: 8 ABC RESULTS: input signals: 3 ABC RESULTS: output signals: 1 Removing temp directory. Removed 0 unused cells and 9 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `abc_01.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'abc_01.dot' > 'abc_01.pdf.new' && mv 'abc_01.pdf.new' 'abc_01.pdf' End of script. Logfile hash: 314af208de CPU: user 0.03s system 0.01s, MEM: 17.03 MB total, 10.71 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 48% 5x read_verilog (0 sec), 9% 1x abc (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExSyn' + make -C PRESENTATION_ExAdv make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExAdv' ../../yosys select.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `select.ys' -- 1. Executing Verilog-2005 frontend: select.v Parsing Verilog input from `select.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\test.$proc$select.v:7$1'. 1/2: $0\c[15:0] 2/2: $0\b[15:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\test.\b' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$8' with positive edge clock. Creating register for signal `\test.\c' using process `\test.$proc$select.v:7$1'. created $dff cell `$procdff$9' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `test.$proc$select.v:7$1'. Cleaned up 0 empty switches. 4. Executing OPT pass (performing simple optimizations). 4.1. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.2. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 1 cells. 4.3. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.4. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.5. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.6. Executing OPT_RMDFF pass (remove dff with constant values). 4.7. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. Removed 1 unused cells and 7 unused wires. 4.8. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.9. Rerunning OPT passes. (Maybe there is more to do..) 4.10. Executing OPT_MUXTREE pass (detect dead branches in mux trees). Running muxtree optimizer on module \test.. Creating internal representation of mux trees. Evaluating internal representation of mux trees. Analyzing evaluation results. Removed 0 multiplexer ports. 4.11. Executing OPT_REDUCE pass (consolidate $*mux and $reduce_* inputs). Optimizing cells in module \test. Performed a total of 0 changes. 4.12. Executing OPT_MERGE pass (detect identical cells). Finding identical cells in module `\test'. Removed a total of 0 cells. 4.13. Executing OPT_RMDFF pass (remove dff with constant values). 4.14. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \test.. 4.15. Executing OPT_EXPR pass (perform const folding). Optimizing module test. 4.16. Finished OPT passes. (There is nothing left to do.) 5. Generating Graphviz representation of design. Writing dot description to `select.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'select.dot' > 'select.pdf.new' && mv 'select.pdf.new' 'select.pdf' End of script. Logfile hash: ac335b9416 CPU: user 0.02s system 0.01s, MEM: 16.48 MB total, 10.02 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 21% 2x opt_clean (0 sec), 21% 3x opt_expr (0 sec), ... ../../yosys red_or3x1_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `red_or3x1_test.ys' -- 1. Executing Verilog-2005 frontend: red_or3x1_test.v Parsing Verilog input from `red_or3x1_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: red_or3x1_map.v Parsing Verilog input from `red_or3x1_map.v' to AST representation. Generating RTLIL representation for module `\$reduce_or'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=7\Y_WIDTH=1 for cells of type $reduce_or. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=1\Y_WIDTH=1 for cells of type $reduce_or. Using template $paramod\$reduce_or\A_SIGNED=0\A_WIDTH=3\Y_WIDTH=1 for cells of type $reduce_or. No more expansions possible. Removed 0 unused cells and 18 unused wires. 4. Executing SPLITNETS pass (splitting up multi-bit signals). 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: red_or3x1_cells.v Parsing Verilog input from `red_or3x1_cells.v' to AST representation. Generating RTLIL representation for module `\OR3X1'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `red_or3x1.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'red_or3x1.dot' > 'red_or3x1.pdf.new' && mv 'red_or3x1.pdf.new' 'red_or3x1.pdf' End of script. Logfile hash: f7f7720e72 CPU: user 0.01s system 0.00s, MEM: 16.51 MB total, 9.68 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 39% 1x techmap (0 sec), 20% 4x read_verilog (0 sec), ... ../../yosys sym_mul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `sym_mul_test.ys' -- 1. Executing Verilog-2005 frontend: sym_mul_test.v Parsing Verilog input from `sym_mul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=8\B_WIDTH=8\Y_WIDTH=8 for cells of type $mul. No more expansions possible. Removed 0 unused cells and 6 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `sym_mul.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'sym_mul.dot' > 'sym_mul.pdf.new' && mv 'sym_mul.pdf.new' 'sym_mul.pdf' End of script. Logfile hash: 976edf2e64 CPU: user 0.01s system 0.00s, MEM: 16.45 MB total, 9.62 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 29% 1x clean (0 sec), 24% 4x read_verilog (0 sec), ... ../../yosys mymul_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `mymul_test.ys' -- 1. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mymul_map.v Parsing Verilog input from `mymul_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=2\B_WIDTH=2\Y_WIDTH=2 for cells of type $mul. Using template $paramod\MYMUL\WIDTH=2 for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 10 unused wires. Renaming module \test to \test_mapped. 4. Executing Verilog-2005 frontend: mymul_test.v Parsing Verilog input from `mymul_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 5. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test" and gate cell "test_mapped". 6. Executing FLATTEN pass (flatten design). Using template test_mapped for cells of type test_mapped. Using template test for cells of type test. No more expansions possible. 7. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 9 cells to SAT database. Import proof-constraint: \trigger = 1'0 Final proof equation: \trigger = 1'0 Solving problem with 127 variables and 335 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ 8. Executing SPLITNETS pass (splitting up multi-bit signals). 9. Generating Graphviz representation of design. Writing dot description to `mymul.dot'. Dumping module test_mapped to page 1. Exec: dot -Tpdf 'mymul.dot' > 'mymul.pdf.new' && mv 'mymul.pdf.new' 'mymul.pdf' End of script. Logfile hash: 88e74f01d1 CPU: user 0.03s system 0.00s, MEM: 16.53 MB total, 10.36 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 35% 2x clean (0 sec), 20% 1x techmap (0 sec), ... ../../yosys mulshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `mulshift_test.ys' -- 1. Executing Verilog-2005 frontend: mulshift_test.v Parsing Verilog input from `mulshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: sym_mul_map.v Parsing Verilog input from `sym_mul_map.v' to AST representation. Generating RTLIL representation for module `\$mul'. Successfully finished Verilog frontend. 3.2. Executing Verilog-2005 frontend: mulshift_map.v Parsing Verilog input from `mulshift_map.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 3.3. Continuing TECHMAP pass. Using template $paramod\$mul\A_SIGNED=0\B_SIGNED=0\A_WIDTH=8\B_WIDTH=8\Y_WIDTH=8 for cells of type $mul. Using template $paramod$bbc3b0b87462ac640198340d7dc539ed37c95c0b\MYMUL for cells of type MYMUL. No more expansions possible. Removed 0 unused cells and 16 unused wires. 4. Generating Graphviz representation of design. 4.1. Executing Verilog-2005 frontend: sym_mul_cells.v Parsing Verilog input from `sym_mul_cells.v' to AST representation. Generating RTLIL representation for module `\MYMUL'. Successfully finished Verilog frontend. 4.2. Continuing show pass. Writing dot description to `mulshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'mulshift.dot' > 'mulshift.pdf.new' && mv 'mulshift.pdf.new' 'mulshift.pdf' End of script. Logfile hash: 612c492bc0 CPU: user 0.03s system 0.00s, MEM: 16.61 MB total, 10.11 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 45% 3x clean (0 sec), 27% 1x techmap (0 sec), ... ../../yosys addshift_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `addshift_test.ys' -- 1. Executing Verilog-2005 frontend: addshift_test.v Parsing Verilog input from `addshift_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: addshift_map.v Parsing Verilog input from `addshift_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod$f9d15d41450676d24e4cd1a1cce4370f40b165ac\$add for cells of type $add. No more expansions possible. Removed 0 unused cells and 7 unused wires. 4. Generating Graphviz representation of design. Writing dot description to `addshift.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'addshift.dot' > 'addshift.pdf.new' && mv 'addshift.pdf.new' 'addshift.pdf' End of script. Logfile hash: a0fd954202 CPU: user 0.01s system 0.00s, MEM: 16.38 MB total, 9.46 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 28% 1x clean (0 sec), 28% 1x techmap (0 sec), ... ../../yosys macc_simple_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `macc_simple_test.ys' -- 1. Executing Verilog-2005 frontend: macc_simple_test.v Parsing Verilog input from `macc_simple_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 3. Generating Graphviz representation of design. 3.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 3.2. Continuing show pass. Writing dot description to `macc_simple_test_00a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00a.dot' > 'macc_simple_test_00a.pdf.new' && mv 'macc_simple_test_00a.pdf.new' 'macc_simple_test_00a.pdf' 4. Executing EXTRACT pass (map subcircuits to cells). 4.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 4.2. Executing PROC pass (convert processes to netlists). 4.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 4.2.3. Executing PROC_INIT pass (extract init attributes). 4.2.4. Executing PROC_ARST pass (detect async resets in processes). 4.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 4.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 4.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 4.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 4.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 4.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$7 -> $add$macc_simple_test.v:5$2 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_simple_xmap.v:5$6 -> $mul$macc_simple_test.v:5$1 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$8 Removed 0 unused cells and 1 unused wires. 5. Generating Graphviz representation of design. 5.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 5.2. Continuing show pass. Writing dot description to `macc_simple_test_00b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_00b.dot' > 'macc_simple_test_00b.pdf.new' && mv 'macc_simple_test_00b.pdf.new' 'macc_simple_test_00b.pdf' 6. Executing Verilog-2005 frontend: macc_simple_test_01.v Parsing Verilog input from `macc_simple_test_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 7. Executing HIERARCHY pass (managing design hierarchy). 7.1. Analyzing design hierarchy.. Top module: \test 7.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 8. Generating Graphviz representation of design. 8.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 8.2. Continuing show pass. Writing dot description to `macc_simple_test_01a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01a.dot' > 'macc_simple_test_01a.pdf.new' && mv 'macc_simple_test_01a.pdf.new' 'macc_simple_test_01a.pdf' 9. Executing EXTRACT pass (map subcircuits to cells). 9.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 9.2. Executing PROC pass (convert processes to netlists). 9.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 9.2.3. Executing PROC_INIT pass (extract init attributes). 9.2.4. Executing PROC_ARST pass (detect async resets in processes). 9.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 9.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 9.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 9.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 9.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 9.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 9.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 1 matches. 9.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$18 -> $add$macc_simple_test_01.v:5$13 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$17 -> $mul$macc_simple_test_01.v:5$11 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$19 Removed 0 unused cells and 1 unused wires. 10. Generating Graphviz representation of design. 10.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 10.2. Continuing show pass. Writing dot description to `macc_simple_test_01b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_01b.dot' > 'macc_simple_test_01b.pdf.new' && mv 'macc_simple_test_01b.pdf.new' 'macc_simple_test_01b.pdf' 11. Executing Verilog-2005 frontend: macc_simple_test_02.v Parsing Verilog input from `macc_simple_test_02.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 12. Executing HIERARCHY pass (managing design hierarchy). 12.1. Analyzing design hierarchy.. Top module: \test 12.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 13. Generating Graphviz representation of design. 13.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 13.2. Continuing show pass. Writing dot description to `macc_simple_test_02a.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02a.dot' > 'macc_simple_test_02a.pdf.new' && mv 'macc_simple_test_02a.pdf.new' 'macc_simple_test_02a.pdf' 14. Executing EXTRACT pass (map subcircuits to cells). 14.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 14.2. Executing PROC pass (convert processes to netlists). 14.2.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.2.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Removed a total of 0 dead cases. 14.2.3. Executing PROC_INIT pass (extract init attributes). 14.2.4. Executing PROC_ARST pass (detect async resets in processes). 14.2.5. Executing PROC_MUX pass (convert decision trees to multiplexers). 14.2.6. Executing PROC_DLATCH pass (convert process syncs to latches). 14.2.7. Executing PROC_DFF pass (convert process syncs to FFs). 14.2.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 14.3. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \macc_16_16_32.. Removed 0 unused cells and 1 unused wires. 14.4. Creating graphs for SubCircuit library. Creating needle graph needle_macc_16_16_32. Creating haystack graph haystack_test. 14.5. Running solver from SubCircuit library. Solving for needle_macc_16_16_32 in haystack_test. Found 2 matches. 14.6. Substitute SubCircuits with cells. Match #0: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$24 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$23 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$30 Match #1: (needle_macc_16_16_32 in haystack_test) $add$macc_simple_xmap.v:5$29 -> $add$macc_simple_test_02.v:5$25 \A:\A \B:\B \Y:\Y $mul$macc_simple_xmap.v:5$28 -> $mul$macc_simple_test_02.v:5$22 \A:\A \B:\B \Y:\Y new cell: $extract$\macc_16_16_32$31 Removed 0 unused cells and 2 unused wires. 15. Generating Graphviz representation of design. 15.1. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 15.2. Continuing show pass. Writing dot description to `macc_simple_test_02b.dot'. Dumping module test to page 1. Exec: dot -Tpdf 'macc_simple_test_02b.dot' > 'macc_simple_test_02b.pdf.new' && mv 'macc_simple_test_02b.pdf.new' 'macc_simple_test_02b.pdf' 16. Executing Verilog-2005 frontend: macc_simple_xmap.v Parsing Verilog input from `macc_simple_xmap.v' to AST representation. Generating RTLIL representation for module `\macc_16_16_32'. Successfully finished Verilog frontend. 17. Executing HIERARCHY pass (managing design hierarchy). 17.1. Analyzing design hierarchy.. Top module: \macc_16_16_32 17.2. Analyzing design hierarchy.. Top module: \macc_16_16_32 Removed 0 unused modules. Removed 0 unused cells and 1 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_simple_xmap.dot'. Dumping module macc_16_16_32 to page 1. Exec: dot -Tpdf 'macc_simple_xmap.dot' > 'macc_simple_xmap.pdf.new' && mv 'macc_simple_xmap.pdf.new' 'macc_simple_xmap.pdf' End of script. Logfile hash: a22913d34f CPU: user 0.06s system 0.01s, MEM: 16.47 MB total, 9.83 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 32% 7x clean (0 sec), 18% 7x show (0 sec), ... ../../yosys macc_xilinx_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `macc_xilinx_test.ys' -- 1. Executing Verilog-2005 frontend: macc_xilinx_test.v Parsing Verilog input from `macc_xilinx_test.v' to AST representation. Generating RTLIL representation for module `\test1'. Generating RTLIL representation for module `\test2'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `$__mul_wrapper'. Generating RTLIL representation for module `$__add_wrapper'. Successfully finished Verilog frontend. 3. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 4. Executing HIERARCHY pass (managing design hierarchy). Removed 0 unused cells and 2 unused wires. 5. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1a.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1a.dot' > 'macc_xilinx_test1a.pdf.new' && mv 'macc_xilinx_test1a.pdf.new' 'macc_xilinx_test1a.pdf' 6. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2a.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2a.dot' > 'macc_xilinx_test2a.pdf.new' && mv 'macc_xilinx_test2a.pdf.new' 'macc_xilinx_test2a.pdf' 7. Executing TECHMAP pass (map to technology primitives). 7.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 7.2. Continuing TECHMAP pass. Using template $paramod\mul_swap_ports\A_SIGNED=0\B_SIGNED=0\A_WIDTH=20\B_WIDTH=16\Y_WIDTH=42 for cells of type $mul. No more expansions possible. Removed 0 unused cells and 8 unused wires. 8. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1b.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1b.dot' > 'macc_xilinx_test1b.pdf.new' && mv 'macc_xilinx_test1b.pdf.new' 'macc_xilinx_test1b.pdf' 9. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2b.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2b.dot' > 'macc_xilinx_test2b.pdf.new' && mv 'macc_xilinx_test2b.pdf.new' 'macc_xilinx_test2b.pdf' 10. Executing TECHMAP pass (map to technology primitives). 10.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 10.2. Continuing TECHMAP pass. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=20\Y_WIDTH=42 for cells of type $mul. Using template $paramod\add_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=42\B_WIDTH=42\Y_WIDTH=42 for cells of type $add. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=16\Y_WIDTH=42 for cells of type $mul. No more expansions possible. 11. Executing CONNWRAPPERS pass (connect extended ports of wrapper cells). Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:A: { 6'000000 $add$macc_xilinx_test.v:5$3_Y } -> { $techmap19$add$macc_xilinx_test.v:5$3.Y_48 [47:42] $add$macc_xilinx_test.v:5$3_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$5:B: { 6'000000 $mul$macc_xilinx_test.v:5$4_Y } -> { $techmap21$mul$macc_xilinx_test.v:5$4.Y_48 [47:42] $mul$macc_xilinx_test.v:5$4_Y } Connected extended bits of test1.$add$macc_xilinx_test.v:5$3:B: { 6'000000 $mul$macc_xilinx_test.v:5$2_Y } -> { $techmap17$mul$macc_xilinx_test.v:5$2.Y_48 [47:42] $mul$macc_xilinx_test.v:5$2_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$10:B: { 6'000000 $add$macc_xilinx_test.v:12$9_Y } -> { $techmap25$add$macc_xilinx_test.v:12$9.Y_48 [47:42] $add$macc_xilinx_test.v:12$9_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:A: { 6'000000 $mul$macc_xilinx_test.v:12$7_Y } -> { $techmap24$mul$macc_xilinx_test.v:12$7.Y_48 [47:42] $mul$macc_xilinx_test.v:12$7_Y } Connected extended bits of test2.$add$macc_xilinx_test.v:12$9:B: { 6'000000 $mul$macc_xilinx_test.v:12$8_Y } -> { $techmap23$mul$macc_xilinx_test.v:12$8.Y_48 [47:42] $mul$macc_xilinx_test.v:12$8_Y } Removed 0 unused cells and 56 unused wires. 12. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1c.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1c.dot' > 'macc_xilinx_test1c.pdf.new' && mv 'macc_xilinx_test1c.pdf.new' 'macc_xilinx_test1c.pdf' 13. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2c.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2c.dot' > 'macc_xilinx_test2c.pdf.new' && mv 'macc_xilinx_test2c.pdf.new' 'macc_xilinx_test2c.pdf' 14. Executing Verilog-2005 frontend: macc_xilinx_xmap.v Parsing Verilog input from `macc_xilinx_xmap.v' to AST representation. Generating RTLIL representation for module `\DSP48_MACC'. Successfully finished Verilog frontend. 15. Executing TECHMAP pass (map to technology primitives). 15.1. Executing Verilog-2005 frontend: macc_xilinx_swap_map.v Parsing Verilog input from `macc_xilinx_swap_map.v' to AST representation. Generating RTLIL representation for module `\mul_swap_ports'. Successfully finished Verilog frontend. 15.2. Continuing TECHMAP pass. No more expansions possible. 16. Executing TECHMAP pass (map to technology primitives). 16.1. Executing Verilog-2005 frontend: macc_xilinx_wrap_map.v Parsing Verilog input from `macc_xilinx_wrap_map.v' to AST representation. Generating RTLIL representation for module `\mul_wrap'. Generating RTLIL representation for module `\add_wrap'. Successfully finished Verilog frontend. 16.2. Continuing TECHMAP pass. Using template $paramod\mul_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=18\B_WIDTH=25\Y_WIDTH=48 for cells of type $mul. Using template $paramod\add_wrap\A_SIGNED=0\B_SIGNED=0\A_WIDTH=48\B_WIDTH=48\Y_WIDTH=48 for cells of type $add. No more expansions possible. Removed 0 unused cells and 17 unused wires. 17. Executing EXTRACT pass (map subcircuits to cells). 17.1. Creating graphs for SubCircuit library. Creating needle graph needle_DSP48_MACC. Creating haystack graph haystack_$__add_wrapper. Creating haystack graph haystack_$__mul_wrapper. Creating haystack graph haystack_DSP48_MACC. Creating haystack graph haystack_test1. Creating haystack graph haystack_test2. 17.2. Running solver from SubCircuit library. Solving for needle_DSP48_MACC in haystack_$__add_wrapper. Solving for needle_DSP48_MACC in haystack_$__mul_wrapper. Solving for needle_DSP48_MACC in haystack_DSP48_MACC. Solving for needle_DSP48_MACC in haystack_test1. Solving for needle_DSP48_MACC in haystack_test2. Found 3 matches. 17.3. Substitute SubCircuits with cells. Match #0: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$3 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$2 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$35 Match #1: (needle_DSP48_MACC in haystack_test1) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:5$5 \A:\B \B:\A \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:5$4 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$36 Match #2: (needle_DSP48_MACC in haystack_test2) $add$macc_xilinx_xmap.v:8$28 -> $add$macc_xilinx_test.v:12$9 \A:\A \B:\B \Y:\Y $const$0 -> $const$0 \Y:\Y $const$1 -> $const$1 \Y:\Y $const$x -> $const$x \Y:\Y $const$z -> $const$z \Y:\Y $mul$macc_xilinx_xmap.v:8$27 -> $mul$macc_xilinx_test.v:12$7 \A:\A \B:\B \Y:\Y new cell: $extract$\DSP48_MACC$37 Removed 0 unused cells and 6 unused wires. 18. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1d.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1d.dot' > 'macc_xilinx_test1d.pdf.new' && mv 'macc_xilinx_test1d.pdf.new' 'macc_xilinx_test1d.pdf' 19. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2d.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2d.dot' > 'macc_xilinx_test2d.pdf.new' && mv 'macc_xilinx_test2d.pdf.new' 'macc_xilinx_test2d.pdf' 20. Executing TECHMAP pass (map to technology primitives). 20.1. Executing Verilog-2005 frontend: macc_xilinx_unwrap_map.v Parsing Verilog input from `macc_xilinx_unwrap_map.v' to AST representation. Generating RTLIL representation for module `\$__mul_wrapper'. Generating RTLIL representation for module `\$__add_wrapper'. Successfully finished Verilog frontend. 20.2. Continuing TECHMAP pass. Using template $paramod\$__mul_wrapper\A_SIGNED=0\B_SIGNED=0\A_WIDTH=16\B_WIDTH=16\Y_WIDTH=42 for cells of type $__mul_wrapper. Using template $paramod\$__add_wrapper\A_SIGNED=0\B_SIGNED=0\A_WIDTH=42\B_WIDTH=42\Y_WIDTH=42 for cells of type $__add_wrapper. No more expansions possible. Removed 0 unused cells and 14 unused wires. 21. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test1e.dot'. Dumping module test1 to page 1. Exec: dot -Tpdf 'macc_xilinx_test1e.dot' > 'macc_xilinx_test1e.pdf.new' && mv 'macc_xilinx_test1e.pdf.new' 'macc_xilinx_test1e.pdf' 22. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_test2e.dot'. Dumping module test2 to page 1. Exec: dot -Tpdf 'macc_xilinx_test2e.dot' > 'macc_xilinx_test2e.pdf.new' && mv 'macc_xilinx_test2e.pdf.new' 'macc_xilinx_test2e.pdf' 23. Generating Graphviz representation of design. Writing dot description to `macc_xilinx_xmap.dot'. Dumping module DSP48_MACC to page 1. Exec: dot -Tpdf 'macc_xilinx_xmap.dot' > 'macc_xilinx_xmap.pdf.new' && mv 'macc_xilinx_xmap.pdf.new' 'macc_xilinx_xmap.pdf' End of script. Logfile hash: f74c6fae71 CPU: user 0.20s system 0.01s, MEM: 16.91 MB total, 10.26 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 50% 12x clean (0 sec), 18% 5x techmap (0 sec), ... make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExAdv' + make -C PRESENTATION_ExOth make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExOth' ../../yosys scrambler.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `scrambler.ys' -- 1. Executing Verilog-2005 frontend: scrambler.v Parsing Verilog input from `scrambler.v' to AST representation. Generating RTLIL representation for module `\scrambler'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 3. Executing PROC pass (convert processes to netlists). 3.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 3.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 1 switch rules as full_case in process $proc$scrambler.v:6$1 in module scrambler. Removed a total of 0 dead cases. 3.3. Executing PROC_INIT pass (extract init attributes). 3.4. Executing PROC_ARST pass (detect async resets in processes). 3.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\scrambler.$proc$scrambler.v:6$1'. 1/3: $1\xs[31:0] 2/3: $0\out_bit[0:0] 3/3: $0\xs[31:0] 3.6. Executing PROC_DLATCH pass (convert process syncs to latches). 3.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\scrambler.\xs' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$12' with positive edge clock. Creating register for signal `\scrambler.\out_bit' using process `\scrambler.$proc$scrambler.v:6$1'. created $dff cell `$procdff$13' with positive edge clock. 3.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Found and cleaned up 1 empty switch in `\scrambler.$proc$scrambler.v:6$1'. Removing empty process `scrambler.$proc$scrambler.v:6$1'. Cleaned up 1 empty switch. Removed 0 unused cells and 4 unused wires. 4. Executing SUBMOD pass (moving cells to submodules as requested). 4.1. Executing OPT_CLEAN pass (remove unused cells and wires). Finding unused cells or wires in module \scrambler.. 4.2. Continuing SUBMOD pass. Creating submodule xorshift32 (\xorshift32) of module \scrambler. signal $shl$scrambler.v:9$2_Y: internal signal $1\xs[31:0]: input \n1 signal $0\xs[31:0]: output \n2 signal $xor$scrambler.v:9$3_Y: internal signal $shl$scrambler.v:11$6_Y: internal signal $shr$scrambler.v:10$4_Y: internal signal $xor$scrambler.v:10$5_Y: internal cell $xor$scrambler.v:11$7 ($xor) cell $shl$scrambler.v:9$2 ($shl) cell $xor$scrambler.v:9$3 ($xor) cell $shr$scrambler.v:10$4 ($shr) cell $xor$scrambler.v:10$5 ($xor) cell $shl$scrambler.v:11$6 ($shl) 5. Generating Graphviz representation of design. Writing dot description to `scrambler_p01.dot'. Dumping module scrambler to page 1. Exec: dot -Tpdf 'scrambler_p01.dot' > 'scrambler_p01.pdf.new' && mv 'scrambler_p01.pdf.new' 'scrambler_p01.pdf' 6. Generating Graphviz representation of design. Writing dot description to `scrambler_p02.dot'. Dumping module xorshift32 to page 1. Exec: dot -Tpdf 'scrambler_p02.dot' > 'scrambler_p02.pdf.new' && mv 'scrambler_p02.pdf.new' 'scrambler_p02.pdf' echo on yosys> cd xorshift32 yosys [xorshift32]> rename n2 in Renaming wire n2 to in in module xorshift32. yosys [xorshift32]> rename n1 out Renaming wire n1 to out in module xorshift32. yosys [xorshift32]> eval -set in 1 -show out 7. Executing EVAL pass (evaluate the circuit given an input). Failed to evaluate signal \out: Missing value for \out. yosys [xorshift32]> eval -set in 270369 -show out 8. Executing EVAL pass (evaluate the circuit given an input). Failed to evaluate signal \out: Missing value for \out. yosys [xorshift32]> sat -set out 632435482 9. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Import set-constraint: \out = 632435482 Final constraint equation: \out = 632435482 Imported 6 cells to SAT database. Solving problem with 1119 variables and 2905 clauses.. SAT solving finished - model found: Signal Name Dec Hex Bin --------------- ----------- --------- ----------------------------------- \out 632435482 25b2331a 00100101101100100011001100011010 End of script. Logfile hash: f37c86c648 CPU: user 0.03s system 0.00s, MEM: 17.03 MB total, 10.55 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 31% 1x sat (0 sec), 17% 1x submod (0 sec), ... ../../yosys -l equiv.log_new equiv.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `equiv.ys' -- 1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. 2. Executing HIERARCHY pass (managing design hierarchy). 2.1. Analyzing design hierarchy.. Top module: \test 2.2. Analyzing design hierarchy.. Top module: \test Removed 0 unused modules. Renaming module \test to \test_mapped. 3. Executing TECHMAP pass (map to technology primitives). 3.1. Executing Verilog-2005 frontend: ../PRESENTATION_ExSyn/techmap_01_map.v Parsing Verilog input from `../PRESENTATION_ExSyn/techmap_01_map.v' to AST representation. Generating RTLIL representation for module `\$add'. Successfully finished Verilog frontend. 3.2. Continuing TECHMAP pass. Using template $paramod\$add\A_SIGNED=0\B_SIGNED=0\A_WIDTH=32\B_WIDTH=32\Y_WIDTH=32 for cells of type $add. No more expansions possible. 4. Executing MITER pass (creating miter circuit). Creating miter cell "miter" with gold cell "test_orig" and gate cell "test_mapped". 5. Executing FLATTEN pass (flatten design). Using template test_orig for cells of type test_orig. Using template test_mapped for cells of type test_mapped. No more expansions possible. 6. Executing SAT pass (solving SAT problems in the circuit). Setting up SAT problem: Final constraint equation: { } = { } Imported 7 cells to SAT database. Import proof for assert: $auto$miter.cc:211:create_miter_equiv$6 when 1'1. Import show expression: \in_b Import show expression: \in_a Import show expression: \trigger Import show expression: \gate_y Import show expression: \gold_y Solving problem with 945 variables and 2505 clauses.. SAT proof finished - no model found: SUCCESS! /$$$$$$ /$$$$$$$$ /$$$$$$$ /$$__ $$ | $$_____/ | $$__ $$ | $$ \ $$ | $$ | $$ \ $$ | $$ | $$ | $$$$$ | $$ | $$ | $$ | $$ | $$__/ | $$ | $$ | $$/$$ $$ | $$ | $$ | $$ | $$$$$$/ /$$| $$$$$$$$ /$$| $$$$$$$//$$ \____ $$$|__/|________/|__/|_______/|__/ \__/ End of script. Logfile hash: a981646901 CPU: user 0.03s system 0.00s, MEM: 17.15 MB total, 10.41 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 77% 1x sat (0 sec), 12% 1x techmap (0 sec), ... mv equiv.log_new equiv.log ../../yosys -l axis_test.log_new axis_test.ys /----------------------------------------------------------------------------\ | | | yosys -- Yosys Open SYnthesis Suite | | | | Copyright (C) 2012 - 2019 Clifford Wolf | | | | Permission to use, copy, modify, and/or distribute this software for any | | purpose with or without fee is hereby granted, provided that the above | | copyright notice and this permission notice appear in all copies. | | | | THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES | | WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF | | MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR | | ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES | | WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN | | ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF | | OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE. | | | \----------------------------------------------------------------------------/ Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) -- Executing script file `axis_test.ys' -- 1. Executing Verilog-2005 frontend: axis_master.v Parsing SystemVerilog input from `axis_master.v' to AST representation. Generating RTLIL representation for module `\axis_master'. Successfully finished Verilog frontend. 2. Executing Verilog-2005 frontend: axis_test.v Parsing SystemVerilog input from `axis_test.v' to AST representation. Generating RTLIL representation for module `\axis_test'. Successfully finished Verilog frontend. 3. Executing HIERARCHY pass (managing design hierarchy). 3.1. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master 3.2. Analyzing design hierarchy.. Top module: \axis_test Used module: \axis_master Removed 0 unused modules. Module axis_test directly or indirectly contains formal properties -> setting "keep" attribute. Mapping positional arguments of cell axis_test.uut (axis_master). 4. Executing PROC pass (convert processes to netlists). 4.1. Executing PROC_CLEAN pass (remove empty switches from decision trees). Cleaned up 0 empty switches. 4.2. Executing PROC_RMDEAD pass (remove dead branches from decision trees). Marked 2 switch rules as full_case in process $proc$axis_master.v:7$1 in module axis_master. Removed a total of 0 dead cases. 4.3. Executing PROC_INIT pass (extract init attributes). Found init rule in `\axis_test.$proc$axis_test.v:22$98'. Set init value: $formal$axis_test.v:22$23_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:21$96'. Set init value: $formal$axis_test.v:21$22_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:20$94'. Set init value: $formal$axis_test.v:20$21_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:19$92'. Set init value: $formal$axis_test.v:19$20_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:18$90'. Set init value: $formal$axis_test.v:18$19_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:17$88'. Set init value: $formal$axis_test.v:17$18_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:16$86'. Set init value: $formal$axis_test.v:16$17_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:15$84'. Set init value: $formal$axis_test.v:15$16_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:14$82'. Set init value: $formal$axis_test.v:14$15_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:13$80'. Set init value: $formal$axis_test.v:13$14_EN = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:7$79'. Set init value: \aresetn = 1'0 Found init rule in `\axis_test.$proc$axis_test.v:6$78'. Set init value: \counter = 0 4.4. Executing PROC_ARST pass (detect async resets in processes). 4.5. Executing PROC_MUX pass (convert decision trees to multiplexers). Creating decoders for process `\axis_test.$proc$axis_test.v:22$98'. 1/1: $0$formal$axis_test.v:22$23_EN[0:0]$99 Creating decoders for process `\axis_test.$proc$axis_test.v:21$96'. 1/1: $0$formal$axis_test.v:21$22_EN[0:0]$97 Creating decoders for process `\axis_test.$proc$axis_test.v:20$94'. 1/1: $0$formal$axis_test.v:20$21_EN[0:0]$95 Creating decoders for process `\axis_test.$proc$axis_test.v:19$92'. 1/1: $0$formal$axis_test.v:19$20_EN[0:0]$93 Creating decoders for process `\axis_test.$proc$axis_test.v:18$90'. 1/1: $0$formal$axis_test.v:18$19_EN[0:0]$91 Creating decoders for process `\axis_test.$proc$axis_test.v:17$88'. 1/1: $0$formal$axis_test.v:17$18_EN[0:0]$89 Creating decoders for process `\axis_test.$proc$axis_test.v:16$86'. 1/1: $0$formal$axis_test.v:16$17_EN[0:0]$87 Creating decoders for process `\axis_test.$proc$axis_test.v:15$84'. 1/1: $0$formal$axis_test.v:15$16_EN[0:0]$85 Creating decoders for process `\axis_test.$proc$axis_test.v:14$82'. 1/1: $0$formal$axis_test.v:14$15_EN[0:0]$83 Creating decoders for process `\axis_test.$proc$axis_test.v:13$80'. 1/1: $0$formal$axis_test.v:13$14_EN[0:0]$81 Creating decoders for process `\axis_test.$proc$axis_test.v:7$79'. 1/1: $1\aresetn[0:0] Creating decoders for process `\axis_test.$proc$axis_test.v:6$78'. 1/1: $1\counter[31:0] Creating decoders for process `\axis_test.$proc$axis_test.v:11$24'. 1/22: $0\aresetn[0:0] 2/22: $0$formal$axis_test.v:13$14_EN[0:0]$27 3/22: $0$formal$axis_test.v:13$14_CHECK[0:0]$26 4/22: $0$formal$axis_test.v:14$15_EN[0:0]$28 5/22: $0$formal$axis_test.v:14$15_CHECK[0:0]$32 6/22: $0$formal$axis_test.v:15$16_EN[0:0]$30 7/22: $0$formal$axis_test.v:15$16_CHECK[0:0]$29 8/22: $0$formal$axis_test.v:16$17_EN[0:0]$25 9/22: $0$formal$axis_test.v:16$17_CHECK[0:0]$31 10/22: $0$formal$axis_test.v:17$18_EN[0:0]$34 11/22: $0$formal$axis_test.v:17$18_CHECK[0:0]$33 12/22: $0$formal$axis_test.v:18$19_EN[0:0]$36 13/22: $0$formal$axis_test.v:18$19_CHECK[0:0]$35 14/22: $0$formal$axis_test.v:19$20_EN[0:0]$38 15/22: $0$formal$axis_test.v:19$20_CHECK[0:0]$37 16/22: $0$formal$axis_test.v:20$21_EN[0:0]$40 17/22: $0$formal$axis_test.v:20$21_CHECK[0:0]$39 18/22: $0$formal$axis_test.v:21$22_EN[0:0]$42 19/22: $0$formal$axis_test.v:21$22_CHECK[0:0]$41 20/22: $0$formal$axis_test.v:22$23_EN[0:0]$44 21/22: $0$formal$axis_test.v:22$23_CHECK[0:0]$43 22/22: $0\counter[31:0] Creating decoders for process `\axis_master.$proc$axis_master.v:7$1'. 1/5: $2\state[31:0] 2/5: $1\state[31:0] 3/5: $0\state[31:0] 4/5: $0\tvalid[0:0] 5/5: $0\tdata[7:0] 4.6. Executing PROC_DLATCH pass (convert process syncs to latches). 4.7. Executing PROC_DFF pass (convert process syncs to FFs). Creating register for signal `\axis_test.\aresetn' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$209' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$210' with positive edge clock. Creating register for signal `\axis_test.\counter' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$211' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$212' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:13$14_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$213' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$214' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$215' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:15$16_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$216' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:16$17_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$217' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:14$15_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$218' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$219' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:17$18_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$220' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$221' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:18$19_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$222' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$223' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:19$20_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$224' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$225' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:20$21_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$226' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$227' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:21$22_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$228' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_CHECK' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$229' with positive edge clock. Creating register for signal `\axis_test.$formal$axis_test.v:22$23_EN' using process `\axis_test.$proc$axis_test.v:11$24'. created $dff cell `$procdff$230' with positive edge clock. Creating register for signal `\axis_master.\tdata' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$231' with positive edge clock. Creating register for signal `\axis_master.\state' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$232' with positive edge clock. Creating register for signal `\axis_master.\tvalid' using process `\axis_master.$proc$axis_master.v:7$1'. created $dff cell `$procdff$233' with positive edge clock. 4.8. Executing PROC_CLEAN pass (remove empty switches from decision trees). Removing empty process `axis_test.$proc$axis_test.v:22$98'. Removing empty process `axis_test.$proc$axis_test.v:21$96'. Removing empty process `axis_test.$proc$axis_test.v:20$94'. Removing empty process `axis_test.$proc$axis_test.v:19$92'. Removing empty process `axis_test.$proc$axis_test.v:18$90'. Removing empty process `axis_test.$proc$axis_test.v:17$88'. Removing empty process `axis_test.$proc$axis_test.v:16$86'. Removing empty process `axis_test.$proc$axis_test.v:15$84'. Removing empty process `axis_test.$proc$axis_test.v:14$82'. Removing empty process `axis_test.$proc$axis_test.v:13$80'. Removing empty process `axis_test.$proc$axis_test.v:7$79'. Removing empty process `axis_test.$proc$axis_test.v:6$78'. Found and cleaned up 11 empty switches in `\axis_test.$proc$axis_test.v:11$24'. Removing empty process `axis_test.$proc$axis_test.v:11$24'. Found and cleaned up 4 empty switches in `\axis_master.$proc$axis_master.v:7$1'. Removing empty process `axis_master.$proc$axis_master.v:7$1'. Cleaned up 15 empty switches. 5. Executing FLATTEN pass (flatten design). Using template axis_master for cells of type axis_master. No more expansions possible. Deleting now unused module axis_master. Removed 0 unused cells and 90 unused wires. 6. Executing SAT pass (solving SAT problems in the circuit). Setting up time step 1: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import set-constraint from init attribute: $formal$axis_test.v:13$14_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:14$15_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:15$16_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:16$17_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:17$18_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:18$19_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:19$20_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:20$21_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:21$22_EN = 1'0 Import set-constraint from init attribute: $formal$axis_test.v:22$23_EN = 1'0 Import set-constraint from init attribute: \aresetn = 1'0 Import set-constraint from init attribute: \counter = 0 Final init constraint equation: { \counter \aresetn $formal$axis_test.v:22$23_EN $formal$axis_test.v:21$22_EN $formal$axis_test.v:20$21_EN $formal$axis_test.v:19$20_EN $formal$axis_test.v:18$19_EN $formal$axis_test.v:17$18_EN $formal$axis_test.v:16$17_EN $formal$axis_test.v:15$16_EN $formal$axis_test.v:14$15_EN $formal$axis_test.v:13$14_EN } = 43'0000000000000000000000000000000000000000000 Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 2: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 3: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 4: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 5: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 6: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 7: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 8: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 9: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 10: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 11: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 12: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 13: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 14: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 15: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 16: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 17: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 18: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 19: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 20: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 21: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 22: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 23: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 24: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 25: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 26: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 27: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 28: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 29: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 30: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 31: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 32: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 33: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 34: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 35: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 36: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 37: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 38: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 39: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 40: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 41: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 42: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 43: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 44: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 45: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 46: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 47: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 48: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 49: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Setting up time step 50: Final constraint equation: { } = { } Imported 121 cells to SAT database. Import proof for assert: $formal$axis_test.v:13$14_CHECK when $formal$axis_test.v:13$14_EN. Import proof for assert: $formal$axis_test.v:14$15_CHECK when $formal$axis_test.v:14$15_EN. Import proof for assert: $formal$axis_test.v:15$16_CHECK when $formal$axis_test.v:15$16_EN. Import proof for assert: $formal$axis_test.v:16$17_CHECK when $formal$axis_test.v:16$17_EN. Import proof for assert: $formal$axis_test.v:17$18_CHECK when $formal$axis_test.v:17$18_EN. Import proof for assert: $formal$axis_test.v:18$19_CHECK when $formal$axis_test.v:18$19_EN. Import proof for assert: $formal$axis_test.v:19$20_CHECK when $formal$axis_test.v:19$20_EN. Import proof for assert: $formal$axis_test.v:20$21_CHECK when $formal$axis_test.v:20$21_EN. Import proof for assert: $formal$axis_test.v:21$22_CHECK when $formal$axis_test.v:21$22_EN. Import proof for assert: $formal$axis_test.v:22$23_CHECK when $formal$axis_test.v:22$23_EN. Solving problem with 159344 variables and 442126 clauses.. SAT proof finished - model found: FAIL! ______ ___ ___ _ _ _ _ (_____ \ / __) / __) (_) | | | | _____) )___ ___ ___ _| |__ _| |__ _____ _| | _____ __| | | | ____/ ___) _ \ / _ (_ __) (_ __|____ | | || ___ |/ _ |_| | | | | | |_| | |_| || | | | / ___ | | || ____( (_| |_ |_| |_| \___/ \___/ |_| |_| \_____|_|\_)_____)\____|_| Time Signal Name Dec Hex Bin ---- ------------------------------------ ----------- --------- ----------------------------------- init $formal$axis_test.v:13$14_CHECK 0 0 0 init $formal$axis_test.v:13$14_EN 0 0 0 init $formal$axis_test.v:14$15_CHECK 0 0 0 init $formal$axis_test.v:14$15_EN 0 0 0 init $formal$axis_test.v:15$16_CHECK 0 0 0 init $formal$axis_test.v:15$16_EN 0 0 0 init $formal$axis_test.v:16$17_CHECK 0 0 0 init $formal$axis_test.v:16$17_EN 0 0 0 init $formal$axis_test.v:17$18_CHECK 0 0 0 init $formal$axis_test.v:17$18_EN 0 0 0 init $formal$axis_test.v:18$19_CHECK 0 0 0 init $formal$axis_test.v:18$19_EN 0 0 0 init $formal$axis_test.v:19$20_CHECK 0 0 0 init $formal$axis_test.v:19$20_EN 0 0 0 init $formal$axis_test.v:20$21_CHECK 0 0 0 init $formal$axis_test.v:20$21_EN 0 0 0 init $formal$axis_test.v:21$22_CHECK 0 0 0 init $formal$axis_test.v:21$22_EN 0 0 0 init $formal$axis_test.v:22$23_CHECK 0 0 0 init $formal$axis_test.v:22$23_EN 0 0 0 init \aresetn 0 0 0 init \counter 0 0 00000000000000000000000000000000 init \uut.state 0 0 00000000000000000000000000000000 init \uut.tdata 80 50 01010000 init \uut.tvalid 1 1 1 End of script. Logfile hash: f85fee5d76 CPU: user 2.33s system 0.08s, MEM: 70.27 MB total, 63.82 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 98% 1x sat (2 sec), 0% 1x clean (0 sec), ... mv axis_test.log_new axis_test.log make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_ExOth' + make -C PRESENTATION_Prog make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Prog' ../../yosys-config --exec --cxx --cxxflags -I../.. --ldflags -o my_cmd.so -shared my_cmd.cc --ldlibs ../../yosys -Ql test0.log_new -m ./my_cmd.so -p 'my_cmd foo bar' absval_ref.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `my_cmd foo bar' -- Arguments to my_cmd: my_cmd foo bar Modules in current design: absval_ref (4 wires, 2 cells) End of script. Logfile hash: be47de2266 CPU: user 0.01s system 0.00s, MEM: 16.44 MB total, 9.96 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 96% 1x read_verilog (0 sec), 3% 1x my_cmd (0 sec) mv test0.log_new test0.log ../../yosys -Ql test1.log_new -m ./my_cmd.so -p 'clean; test1; dump' absval_ref.v -- Parsing `absval_ref.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: absval_ref.v Parsing Verilog input from `absval_ref.v' to AST representation. Generating RTLIL representation for module `\absval_ref'. Successfully finished Verilog frontend. -- Running command `clean; test1; dump' -- Removed 0 unused cells and 1 unused wires. Name of this module: absval autoidx 6 module \absval wire width 4 $auto$my_cmd.cc:41:execute$3 wire width 4 output 2 \y wire width 4 input 1 \a cell $mux $auto$my_cmd.cc:43:execute$5 parameter \WIDTH 4 connect \Y \y connect \S \a [3] connect \B $auto$my_cmd.cc:41:execute$3 connect \A \a end cell $neg $auto$my_cmd.cc:42:execute$4 parameter \Y_WIDTH 4 parameter \A_WIDTH 4 parameter \A_SIGNED 1 connect \Y $auto$my_cmd.cc:41:execute$3 connect \A \a end end attribute \cells_not_processed 1 attribute \src "absval_ref.v:1" module \absval_ref attribute \src "absval_ref.v:2" wire width 4 $neg$absval_ref.v:2$1_Y attribute \src "absval_ref.v:1" wire width 4 input 1 \a attribute \src "absval_ref.v:1" wire width 4 output 2 \y attribute \src "absval_ref.v:2" cell $neg $neg$absval_ref.v:2$1 parameter \A_SIGNED 1 parameter \A_WIDTH 4 parameter \Y_WIDTH 4 connect \A \a connect \Y $neg$absval_ref.v:2$1_Y end attribute \src "absval_ref.v:2" cell $mux $ternary$absval_ref.v:2$2 parameter \WIDTH 4 connect \A \a connect \B $neg$absval_ref.v:2$1_Y connect \S \a [3] connect \Y \y end end End of script. Logfile hash: 41d715e711 CPU: user 0.01s system 0.00s, MEM: 16.44 MB total, 9.86 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 69% 1x clean (0 sec), 19% 1x read_verilog (0 sec), ... mv test1.log_new test1.log ../../yosys -Ql test2.log_new -m ./my_cmd.so -p 'test2' sigmap_test.v -- Parsing `sigmap_test.v' using frontend `verilog' -- 1. Executing Verilog-2005 frontend: sigmap_test.v Parsing Verilog input from `sigmap_test.v' to AST representation. Generating RTLIL representation for module `\test'. Successfully finished Verilog frontend. -- Running command `test2' -- 0 0 0 1 1 1 Mapped signal x: \a 2. Doing important stuff! Log message #0. Log message #1. Log message #2. Log message #3. Log message #4. Log message #5. Log message #6. Log message #7. Log message #8. Log message #9. End of script. Logfile hash: c613caebe8 CPU: user 0.00s system 0.00s, MEM: 16.44 MB total, 9.70 MB resident Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) Time spent: 79% 1x read_verilog (0 sec), 20% 1x test2 (0 sec) mv test2.log_new test2.log make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/manual/PRESENTATION_Prog' + set -ex + pdflatex -shell-escape -halt-on-error presentation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./presentation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2019/07/23 v3.56 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/filehook/filehook.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) No file presentation.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxBiolinumT-TLF.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) No file presentation.nav. [1{/usr/share/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] [3] [4] (./PRESENTATION_Intro.tex [5] [6] [7] [8] [9] [10] [11] [12] [13] (/usr/share/texlive/texmf-dist/tex/latex/libertine/OT1LinuxBiolinumT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) kpathsea: Running mktextfm skull mktextfm: Running mf-nowin -progname=mf \mode:=ljfour; mag:=1; nonstopmode; input skull This is METAFONT, Version 2.7182818 (TeX Live 2019) (preloaded base=mf) kpathsea: Running mktexfmt mf.base mktexfmt: mktexfmt is using the following fmtutil.cnf files (in precedence order): mktexfmt: /usr/share/texlive/texmf-dist/web2c/fmtutil.cnf mktexfmt: mktexfmt is using the following fmtutil.cnf file for writing changes: mktexfmt: /builddir/.texlive2019/texmf-config/web2c/fmtutil.cnf mktexfmt [INFO]: writing formats under /builddir/.texlive2019/texmf-var/web2c mktexfmt [INFO]: --- remaking mf with mf-nowin mktexfmt: running `mf-nowin -ini -jobname=mf -progname=mf -translate-file=cp227.tcx mf.ini' ... This is METAFONT, Version 2.7182818 (TeX Live 2019) (INIMF) (/usr/share/texlive/texmf-dist/web2c/cp227.tcx) (/usr/share/texlive/texmf-dist/metafont/config/mf.ini (/usr/share/texlive/texmf-dist/metafont/base/plain.mf Preloading the plain base, version 2.71: preliminaries, basic constants and mathematical macros, macros for converting from device-independent units to pixels, macros and tables for various modes of operation, macros for drawing and filling, macros for proof labels and rules, macros for character and font administration, and a few last-minute items.) (/usr/share/texlive/texmf-dist/metafont/misc/modes.mf) ) Beginning to dump on file mf.base (preloaded base=mf 2020.4.23) 2226 strings of total length 30025 11855 memory locations dumped; current usage is 3651&7844 1004 symbolic tokens Transcript written on mf.log. mktexfmt [INFO]: /builddir/.texlive2019/texmf-var/web2c/metafont/mf.base installed. mktexfmt [INFO]: Successfully rebuilt formats: 1 mktexfmt [INFO]: Not selected formats: 16 mktexfmt [INFO]: Total formats: 17 mktexfmt [INFO]: exiting with status 0 (/usr/share/texlive/texmf-dist/fonts/source/public/skull/skull.mf [65] ) Font metrics written on skull.tfm. Output written on skull.600gf (1 character, 684 bytes). Transcript written on skull.log. mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm: successfully generated. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [14] [15] [16] [17] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [18] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [19] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [20] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [21] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [22] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [23] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [24] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [25] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [26] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [27] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Overfull \hbox (13.33607pt too wide) in paragraph at lines 368--368 [][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [28] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [29] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [30] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v)) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 23--24 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 31--32 [][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 33--34 [][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 34--35 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 36--37 [][][][][][][][][][][][] )) [33] (./presentation.vrb) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (44.59058pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) [38] (./presentation.vrb Overfull \hbox (12.42075pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.82089pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] ) [39] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (17.2208pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (65.22128pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.02084pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [40] (./presentation.vrb Overfull \hbox (55.62119pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] ) [41] (./presentation.vrb) [42] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [43] (./presentation.vrb) Overfull \vbox (1.85118pt too high) detected at line 641 [44] [45] [46] (./presentation.vrb Overfull \hbox (74.82138pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (36.42099pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.02109pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][] ) [47] [48] [49] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) [50] [51] [52] [53] [54] kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. kpathsea: Running mktextfm skull mktextfm: /builddir/.texlive2019/texmf-var/fonts/tfm/public/skull/skull.tfm already exists. [55] [56] [57] [58] [59] [60]) (./PRESENTATION_ExSyn.tex [61] [62] (./presentation.vrb) [63] (./presentation.vrb Overfull \hbox (70.1951pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][] ) [64] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (54.53822pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys)) [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_02.ys)) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v Overfull \hbox (11.33778pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][] )) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb Overfull \hbox (12.59451pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (26.99466pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (12.59451pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] ) [69] (./presentation.vrb (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][] )) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][] )) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][] )) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (35.33803pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (40.13808pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] [74] (./presentation.vrb Overfull \hbox (22.19461pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][] ) [75] (./presentation.vrb (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] )) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/memory_02.v Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 3--4 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 4--5 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 5--6 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 6--7 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 7--8 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 8--9 [][][][][][][][][][] ) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (61.13892pt too high) detected at line 290 [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) [78] [79] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \vbox (11.4184pt too high) detected at line 383 [81] [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v Overfull \hbox (6.53773pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/abc_01.ys Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][] ) Overfull \hbox (30.53798pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][] ) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] [84] (./presentation.vrb Overfull \hbox (44.59059pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 11--13 [][][][][][][][][][][][][][][][][][] Overfull \hbox (4.99019pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][] Overfull \hbox (66.19081pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (15.7903pt too wide) in paragraph at lines 25--27 [][][][][][][][][][][][][][] Overfull \hbox (73.39088pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (84.191pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) [85] [86]) (./PRESENTATION_ExAdv.tex [87] [88] [89] (./presentation.vrb) [90] (./presentation.vrb Overfull \hbox (2.99442pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (70.1951pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [91] (./presentation.vrb Overfull \hbox (55.79495pt too wide) in paragraph at lines 16--18 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [92] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] ) [93] (./presentation.vrb Overfull \hbox (84.59525pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] Overfull \hbox (79.7952pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][] ) [94] (./presentation.vrb) [95] (./presentation.vrb) [96] (./presentation.vrb Overfull \hbox (60.595pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (60.595pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (65.39505pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v Overfull \hbox (22.99037pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/select.ys Overfull \hbox (2.43277pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][] Overfull \hbox (36.03311pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][] )) [98 <./PRESENTATION_ExAdv/select.pdf>] [99] [100] (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (3.57303pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 3--4 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 4--5 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 5--7 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][] Overfull \hbox (24.57324pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (47.84811pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (47.84811pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 39--40 [][][][][][][][][][][][][][][][] Overfull \hbox (31.04794pt too wide) in paragraph at lines 41--42 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.8479pt too wide) in paragraph at lines 42--43 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 43--44 [][][][][][][][][][][][][][][][][][][][][] ) [101] (./PRESENTATION_ExAdv/red_or3x1_test.ys) Overfull \hbox (30.19044pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) [102 <./PRESENTATION_ExAdv/red_or3x1.pd f>] [103] (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) (./PRESENTATION_ExAdv/sym_mul_test.ys Overfull \hbox (15.7903pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (10.99025pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (20.59035pt too wide) in paragraph at lines 4--321 [][][][][][][][][][][] Overfull \vbox (2.5389pt too high) detected at line 321 [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] [105] (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (54.88577pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] Overfull \hbox (6.88528pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (5.29979pt too wide) in paragraph at lines 5--366 [][][][][][][][][][] (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (27.50002pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][] ) Overfull \hbox (23.29997pt too wide) in paragraph at lines 12--366 [][][][][][][][][][][][][][][] [106 <./PRESENTATION_ExAdv/mymul.pdf>] [107] (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (22.48544pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][] Overfull \hbox (22.48544pt too wide) in paragraph at lines 7--9 [][][][][][][][][][][][][] Overfull \hbox (26.68549pt too wide) in paragraph at lines 10--12 [][][][][][][][][][][][][][][][][][] Overfull \hbox (9.88531pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][][] Overfull \hbox (10.09984pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 5--404 [][][][][][][][][][] [108 <./PRESENTATION_ExAdv/mulshift.pdf>] [109] (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (106.4863pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (110.68634pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 4--445 [][][][][][][][][][][] [110 <./PRESENTATION_ExAdv/addshift.pdf>] [111] [112] (./presentation.vrb) [113] [114] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v Overfull \hbox (16.13783pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (6.53773pt too wide) in paragraph at lines 17--18 [][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][] ) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 4 []| ) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) Overfull \hbox (29.93797pt too wide) in paragraph at lines 14--7 [][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (38.33806pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 25--26 [][][][][][][][] Overfull \hbox (21.53789pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 27--28 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 29--30 [][][][][][][][] Overfull \hbox (0.53767pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 31--32 [][][][][][][][] )) Overfull \vbox (1.59166pt too high) detected at line 610 [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) Overfull \hbox (38.33806pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 72--73 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 73--74 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 74--75 [][][][][][][][] )) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 5 []| Overfull \hbox (140.9391pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (68.93837pt too wide) in paragraph at lines 11--12 [][][][][][][] ) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (160.1393pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] ) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb Overfull \hbox (35.51181pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][] ) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] [131] [132] (./presentation.vrb Overfull \hbox (21.28543pt too wide) in paragraph at lines 22--24 [][][][][][][][][][][][][][][] Overfull \hbox (107.68631pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.1902pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][] Overfull \hbox (20.59035pt too wide) in paragraph at lines 34--35 [][][][][][][][][] ) [133] [134]) (./PRESENTATION_ExOth.tex [135] [136] [137] [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v Overfull \hbox (8.59023pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] )) Overfull \vbox (1.76437pt too high) detected at line 65 [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (31.96849pt too wide) in paragraph at lines 5--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (27.16844pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 19--20 [][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][] ) [140] [141] [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 [143] (./presentation.vrb) Overfull \vbox (2.99165pt too high) detected at line 159 [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v Overfull \hbox (28.73796pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 16--17 [][][][][][][][][][] ) (./PRESENTATION_ExOth/axis_test.v Overfull \hbox (34.73802pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][][][][][][][] )) Overfull \vbox (31.79161pt too high) detected at line 177 [145] (./presentation.vrb) [146] [147]) (./PRESENTATION_Prog.tex [148] [149] [150] (./presentation.vrb) [151] [152] [153] [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) [155] (./presentation.vrb Underfull \hbox (badness 10000) in paragraph at lines 17--17 []\T1/LinuxLibertineMonoT-TLF/regular/n/10.95 start_offset ) [156] (./presentation.vrb) [157] (./presentation.vrb) [158] (./presentation.vrb Overfull \hbox (20.82083pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (42.42105pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 29--30 [][][][][][][][][][][][][][][][][] Overfull \hbox (24.42087pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][] Overfull \hbox (28.0209pt too wide) in paragraph at lines 31--32 [][][][][][][][][][][][][] ) [159] (./presentation.vrb) [160] (./presentation.vrb Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] ) [161] (./presentation.vrb) Overfull \vbox (2.59166pt too high) detected at line 400 [162] [163] (./presentation.vrb) [164] (./presentation.vrb) [165] (./presentation.vrb Overfull \hbox (41.22104pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [166] (./presentation.vrb Overfull \hbox (60.42123pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][] ) [167] (./presentation.vrb) [168] [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux ) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) Package rerunfilecheck Warning: File `presentation.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathsy.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l ibertine/lbtn_25tcsq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/liberti ne/lbtn_dhi6qf.enc} Output written on presentation.pdf (169 pages, 807965 bytes). Transcript written on presentation.log. + false + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new cmp: EOF on autoloop.old after byte 203, line 3 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error presentation.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./presentation.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamer.cls Document Class: beamer 2019/07/23 v3.56 A class for typesetting presentations (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemodes.sty (/usr/share/texlive/texmf-dist/tex/latex/etoolbox/etoolbox.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasedecode.sty)) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoptions.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty)) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifvtex.sty) (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/size11.clo) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/xxcolor.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) Package hyperref Message: Stopped early. ) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaserequires.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecompatibility.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasefont.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/latex/sansmathaccent/sansmathaccent.sty (/usr/share/texlive/texmf-dist/tex/latex/filehook/filehook.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetranslator.sty (/usr/share/texlive/texmf-dist/tex/latex/translator/translator.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasemisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetwoscreens.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseoverlay.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetitle.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasesection.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframe.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseverbatim.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframesize.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseframecomponents.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasecolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenotes.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetoc.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseauxtemplates.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaseboxes.sty))) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbaselocalstructure.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/enumerate.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasenavigation.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasetheorems.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amscls/amsthm.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerbasethemes.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemedefault.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerfontthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemedefault.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemedefault.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/setspace/setspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/units.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/units/nicefrac.sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerthemeMadrid.sty (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemewhale.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeorchid.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerinnerthemerounded.sty) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamerouterthemeinfolines.sty)) (/usr/share/texlive/texmf-dist/tex/latex/beamer/beamercolorthemeseagull.sty) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux) ) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxBiolinumT-TLF.fd) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) ABD: EveryShipout initializing macros (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./presentation.out) (./presentation.out) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-basic-dictionary -English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-bibliography-dic tionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-environment-dict ionary-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-months-dictionar y-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-numbers-dictiona ry-English.dict) (/usr/share/texlive/texmf-dist/tex/latex/translator/translator-theorem-dictiona ry-English.dict) (./presentation.nav) [1{/usr/share/texlive/texmf-dist/fonts/ma p/pdftex/updmap/pdftex.map}] [2] [3] [4] (./PRESENTATION_Intro.tex [5] [6] [7] [8] [9] [10] [11] [12] [13] (/usr/share/texlive/texmf-dist/tex/latex/libertine/OT1LinuxBiolinumT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) [14] [15] [16] [17] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [18] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [19] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [20] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [21] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [22] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [23] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [24] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [25] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [26] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [27] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Overfull \hbox (13.33607pt too wide) in paragraph at lines 368--368 [][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [28] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [29] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [30] Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping to internal cell Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping flip-flops to Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 dfflibmap -liberty Underfull \hbox (badness 10000) in paragraph at lines 368--368 []\T1/LinuxLibertineMonoT-TLF/regular/n/8 # mapping logic to [31] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) (./PRESENTATION_Intro/counter.v)) [32] (./presentation.vrb (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_Intro/mycells.lib Overfull \hbox (11.33778pt too wide) in paragraph at lines 23--24 [][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 31--32 [][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 33--34 [][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 34--35 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 36--37 [][][][][][][][][][][][] )) [33] (./presentation.vrb) [34 <./PRESENTATION_Intro/counter_00.pdf>] (./presentation.vrb) [35 <./PRESENTATION_Intro/counter_01.pdf>] (./presentation.vrb) [36 <./PRESENTATION_Intro/counter_02.pdf>] (./presentation.vrb) [37 <./PRESENTATION_Intro/counter_03.pdf>] (./presentation.vrb Overfull \hbox (44.59058pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][] ) [38] (./presentation.vrb Overfull \hbox (12.42075pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.82089pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] ) [39] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][] Overfull \hbox (17.2208pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (65.22128pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.02084pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [40] (./presentation.vrb Overfull \hbox (55.62119pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] ) [41] (./presentation.vrb) [42] (./presentation.vrb Overfull \hbox (22.02084pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [43] (./presentation.vrb) Overfull \vbox (1.85118pt too high) detected at line 641 [44] [45] [46] (./presentation.vrb Overfull \hbox (74.82138pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (36.42099pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.02109pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][] ) [47] [48] [49] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) [50] [51] [52] [53] [54] [55] [56] [57] [58] [59] [60]) (./PRESENTATION_ExSyn.tex [61] [62] (./presentation.vrb) [63] (./presentation.vrb Overfull \hbox (70.1951pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (50.9949pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][] ) [64] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [65] (./presentation.vrb (./PRESENTATION_ExSyn/proc_01.v Overfull \hbox (54.53822pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_01.ys)) [66 <./PRESENTATION_ExSyn/proc_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/proc_02.ys)) [67 <./PRESENTATION_ExSyn/proc_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/proc_03.ys) (./PRESENTATION_ExSyn/proc_03.v Overfull \hbox (11.33778pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][] )) [68 <./PRESENTATION_ExSyn/proc_03.pdf>] (./presentation.vrb Overfull \hbox (12.59451pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] Overfull \hbox (26.99466pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (12.59451pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][] ) [69] (./presentation.vrb (./PRESENTATION_ExSyn/opt_01.ys) (./PRESENTATION_ExSyn/opt_01.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][][][] )) [70 <./PRESENTATION_ExSyn/opt_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_02.ys) (./PRESENTATION_ExSyn/opt_02.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][][][][][][][][][][][][][][] )) [71 <./PRESENTATION_ExSyn/opt_02.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_03.ys) (./PRESENTATION_ExSyn/opt_03.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 2--3 [][][][][][][][][][][][] )) [72 <./PRESENTATION_ExSyn/opt_03.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/opt_04.v Overfull \hbox (35.33803pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (40.13808pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/opt_04.ys)) [73 <./PRESENTATION_ExSyn/opt_04.pdf>] [74] (./presentation.vrb Overfull \hbox (22.19461pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (36.59476pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][] ) [75] (./presentation.vrb (./PRESENTATION_ExSyn/memory_01.ys) (./PRESENTATION_ExSyn/memory_01.v Underfull \hbox (badness 10000) in paragraph at lines 1--2 [][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] )) [76 <./PRESENTATION_ExSyn/memory_01.pdf>] (./presentation.vrb (./PRESENTATION_ExSyn/memory_02.v Underfull \hbox (badness 10000) in paragraph at lines 2--3 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 3--4 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 4--5 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 5--6 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 6--7 [][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 7--8 [][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 8--9 [][][][][][][][][][] ) (./PRESENTATION_ExSyn/memory_02.ys)) Overfull \vbox (61.13892pt too high) detected at line 290 [77 <./PRESENTATION_ExSyn/memory_02.pdf>] (./presentation.vrb) [78] [79] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 362 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 362 [80 <./PRESENTATION_ExSyn/techmap_01.pdf>] Overfull \vbox (11.4184pt too high) detected at line 383 [81] [82] (./presentation.vrb (./PRESENTATION_ExSyn/abc_01.v Overfull \hbox (6.53773pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][] Overfull \hbox (20.93788pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExSyn/abc_01.ys Overfull \hbox (11.33778pt too wide) in paragraph at lines 2--3 [][][][][][][][][][] ) Overfull \hbox (30.53798pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][] ) [83 <./PRESENTATION_ExSyn/abc_01.pdf>] [84] (./presentation.vrb Overfull \hbox (44.59059pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][] Overfull \hbox (12.19026pt too wide) in paragraph at lines 11--13 [][][][][][][][][][][][][][][][][][] Overfull \hbox (4.99019pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][] Overfull \hbox (66.19081pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (15.7903pt too wide) in paragraph at lines 25--27 [][][][][][][][][][][][][][] Overfull \hbox (73.39088pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (84.191pt too wide) in paragraph at lines 29--31 [][][][][][][][][][][][][][][][][][][][][][][] ) [85] [86]) (./PRESENTATION_ExAdv.tex [87] [88] [89] (./presentation.vrb) [90] (./presentation.vrb Overfull \hbox (2.99442pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (70.1951pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [91] (./presentation.vrb Overfull \hbox (55.79495pt too wide) in paragraph at lines 16--18 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [92] (./presentation.vrb Overfull \hbox (17.39456pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (46.19485pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (17.39456pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][] ) [93] (./presentation.vrb Overfull \hbox (84.59525pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][] Overfull \hbox (79.7952pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [][][][][][][][][][][][][][][][][][][][] ) [94] (./presentation.vrb) [95] (./presentation.vrb) [96] (./presentation.vrb Overfull \hbox (60.595pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (60.595pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (65.39505pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][][][][][] ) [97] (./presentation.vrb (./PRESENTATION_ExAdv/select.v Overfull \hbox (22.99037pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.99037pt too wide) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/select.ys Overfull \hbox (2.43277pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][] Overfull \hbox (36.03311pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][][][][][][][] )) [98 <./PRESENTATION_ExAdv/select.pdf>] [99] [100] (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (3.57303pt too wide) in paragraph at lines 1--3 [][][][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 3--4 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 4--5 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 5--7 [][][][][][][][][][] Overfull \hbox (3.57303pt too wide) in paragraph at lines 7--8 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][] Overfull \hbox (7.77307pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][] Overfull \hbox (24.57324pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/red_or3x1_map.v Overfull \hbox (47.84811pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (47.84811pt too wide) in paragraph at lines 35--36 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 39--40 [][][][][][][][][][][][][][][][] Overfull \hbox (31.04794pt too wide) in paragraph at lines 41--42 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (26.8479pt too wide) in paragraph at lines 42--43 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (22.64786pt too wide) in paragraph at lines 43--44 [][][][][][][][][][][][][][][][][][][][][] ) [101] (./PRESENTATION_ExAdv/red_or3x1_test.ys) Overfull \hbox (30.19044pt too wide) in paragraph at lines 4--285 [][][][][][][][][][][] (./PRESENTATION_ExAdv/red_or3x1_test.v) [102 <./PRESENTATION_ExAdv/red_or3x1.pd f>] [103] (./PRESENTATION_ExAdv/sym_mul_map.v) (./PRESENTATION_ExAdv/sym_mul_test.v) (./PRESENTATION_ExAdv/sym_mul_test.ys Overfull \hbox (15.7903pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (10.99025pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (20.59035pt too wide) in paragraph at lines 4--321 [][][][][][][][][][][] Overfull \vbox (2.5389pt too high) detected at line 321 [104 <./PRESENTATION_ExAdv/sym_mul.pdf>] [105] (./PRESENTATION_ExAdv/mymul_map.v Overfull \hbox (54.88577pt too wide) in paragraph at lines 6--8 [][][][][][][][][][][][][][][][][][] Overfull \hbox (6.88528pt too wide) in paragraph at lines 11--12 [][][][][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (5.29979pt too wide) in paragraph at lines 5--366 [][][][][][][][][][] (./PRESENTATION_ExAdv/mymul_test.ys Overfull \hbox (27.50002pt too wide) in paragraph at lines 9--10 [][][][][][][][][][][][] ) Overfull \hbox (23.29997pt too wide) in paragraph at lines 12--366 [][][][][][][][][][][][][][][] [106 <./PRESENTATION_ExAdv/mymul.pdf>] [107] (./PRESENTATION_ExAdv/mulshift_map.v Overfull \hbox (22.48544pt too wide) in paragraph at lines 6--7 [][][][][][][][][][][][][] Overfull \hbox (22.48544pt too wide) in paragraph at lines 7--9 [][][][][][][][][][][][][] Overfull \hbox (26.68549pt too wide) in paragraph at lines 10--12 [][][][][][][][][][][][][][][][][][] Overfull \hbox (9.88531pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][] Overfull \hbox (85.48608pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.v Overfull \hbox (10.09984pt too wide) in paragraph at lines 3--4 [][][][][][][][][][][][][][][][][][] Overfull \hbox (10.09984pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/mulshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] Overfull \hbox (14.89989pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 5--404 [][][][][][][][][][] [108 <./PRESENTATION_ExAdv/mulshift.pdf>] [109] (./PRESENTATION_ExAdv/addshift_map.v Overfull \hbox (106.4863pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (110.68634pt too wide) in paragraph at lines 17--19 [][][][][][][][][] ) (./PRESENTATION_ExAdv/addshift_test.v) (./PRESENTATION_ExAdv/addshift_test.ys Overfull \hbox (14.89989pt too wide) in paragraph at lines 1--2 [][][][][][][] Overfull \hbox (5.29979pt too wide) in paragraph at lines 2--4 [][][][][][][][][][][] ) Overfull \hbox (19.69994pt too wide) in paragraph at lines 4--445 [][][][][][][][][][][] [110 <./PRESENTATION_ExAdv/addshift.pdf>] [111] [112] (./presentation.vrb) [113] [114] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test.v) (./PRESENTATION_ExAdv/macc_simple_xmap.v Overfull \hbox (16.13783pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][] ) Overfull \hbox (6.53773pt too wide) in paragraph at lines 17--18 [][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][] ) [115 <./PRESENTATION_ExAdv/macc_simple_test_00a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_00b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_00b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb (./PRESENTATION_ExAdv/macc_simple_test_01.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 3 []| (./PRESENTATION_ExAdv/macc_simple_test_02.v Overfull \hbox (1.73769pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (1.73769pt too wide) detected at line 4 []| ) [116 <./PRESENTATION_ExAdv/macc_simple_test_01a.pdf> <./PRESENTATION_ExAdv/ma cc_simple_test_02a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02a.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_01b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_01b.pdf): PDF inclusion: multiple pdfs with page group included in a single page > <./PRESENTATION_ExAdv/macc_simple_test_02b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_simple_test_02b.pdf): PDF inclusion: multiple pdfs with page group included in a single page >] [117] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v) Overfull \hbox (29.93797pt too wide) in paragraph at lines 14--7 [][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_swap_map.v)) [118] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (38.33806pt too wide) in paragraph at lines 19--21 [][][][][][][][][][][][][][][][][] ) (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 25--26 [][][][][][][][] Overfull \hbox (21.53789pt too wide) in paragraph at lines 26--27 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 27--28 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 29--30 [][][][][][][][] Overfull \hbox (0.53767pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 31--32 [][][][][][][][] )) Overfull \vbox (1.59166pt too high) detected at line 610 [119] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v) Overfull \hbox (38.33806pt too wide) in paragraph at lines 66--6 [][][][][][][][][][][][][][][][][] (./PRESENTATION_ExAdv/macc_xilinx_wrap_map.v Overfull \hbox (8.93776pt too wide) in paragraph at lines 72--73 [][][][][][][][] Overfull \hbox (29.93797pt too wide) in paragraph at lines 73--74 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (8.93776pt too wide) in paragraph at lines 74--75 [][][][][][][][] )) [120] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_xmap.v)) [121] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [122] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v) (./PRESENTATION_ExAdv/macc_xilinx_unwrap_map.v)) [123] (./presentation.vrb (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 5--6 [][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 4 []| (./PRESENTATION_ExAdv/macc_xilinx_test.v Overfull \hbox (20.93788pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (16.13783pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][] ) Overfull \hbox (20.93788pt too wide) detected at line 5 []| Overfull \hbox (140.9391pt too wide) in paragraph at lines 10--11 [][][][][][][][] Overfull \hbox (68.93837pt too wide) in paragraph at lines 11--12 [][][][][][][] ) [124 <./PRESENTATION_ExAdv/macc_xilinx_test1a.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2a.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2a.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (160.1393pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][][][] ) [125 <./PRESENTATION_ExAdv/macc_xilinx_test1b.pdf> <./PRESENTATION_ExAdv/macc _xilinx_test2b.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExAdv/macc_xilinx_test2b.pdf): PD F inclusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [126 <./PRESENTATION_ExAdv/macc_xilinx_test1c.pdf>] (./presentation.vrb Overfull \hbox (25.73793pt too wide) in paragraph at lines 8--10 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 10--11 [][][][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (44.93813pt too wide) in paragraph at lines 12--13 [][][][][][][][][] Overfull \hbox (49.73817pt too wide) in paragraph at lines 13--14 [][][][][][][][] ) [127 <./PRESENTATION_ExAdv/macc_xilinx_test2c.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [128 <./PRESENTATION_ExAdv/macc_xilinx_test1d.pdf>] (./presentation.vrb Overfull \hbox (6.53773pt too wide) in paragraph at lines 8--9 [][][][][][][] Overfull \hbox (25.73793pt too wide) in paragraph at lines 9--10 [][][][][][][][][][] Overfull \hbox (35.33803pt too wide) in paragraph at lines 10--11 [][][][][][][][][][][] Overfull \hbox (6.53773pt too wide) in paragraph at lines 11--12 [][][][][][][][] Overfull \hbox (14.22638pt too wide) in paragraph at lines 4--15 [][] Overfull \hbox (35.51181pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][] Overfull \hbox (30.71176pt too wide) in paragraph at lines 19--20 [][][][][][][][][][] Overfull \hbox (11.51157pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][] ) [129 <./PRESENTATION_ExAdv/macc_xilinx_test2d.pdf>] (./presentation.vrb Overfull \hbox (35.51181pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][] ) [130 <./PRESENTATION_ExAdv/macc_xilinx_test2e.pdf>] [131] [132] (./presentation.vrb Overfull \hbox (21.28543pt too wide) in paragraph at lines 22--24 [][][][][][][][][][][][][][][] Overfull \hbox (107.68631pt too wide) in paragraph at lines 24--25 [][][][][][][][][][][][][][][][][] Overfull \hbox (6.1902pt too wide) in paragraph at lines 32--33 [][][][][][][][][][][] Overfull \hbox (20.59035pt too wide) in paragraph at lines 34--35 [][][][][][][][][] ) [133] [134]) (./PRESENTATION_ExOth.tex [135] [136] [137] [138] (./presentation.vrb (./PRESENTATION_ExOth/scrambler.v Overfull \hbox (8.59023pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][] )) Overfull \vbox (1.76437pt too high) detected at line 65 [139 <./PRESENTATION_ExOth/scrambler_p01.pdf> <./PRESENTATION_ExOth/scrambler_p 02.pdf pdfTeX warning: pdflatex (file ./PRESENTATION_ExOth/scrambler_p02.pdf): PDF inc lusion: multiple pdfs with page group included in a single page >] (./presentation.vrb Overfull \hbox (31.96849pt too wide) in paragraph at lines 5--7 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][] Overfull \hbox (27.16844pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 19--20 [][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][] Overfull \hbox (41.56859pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][] ) [140] [141] [142] (./PRESENTATION_ExSyn/techmap_01_map.v) Overfull \vbox (167.4641pt too high) detected at line 131 (./PRESENTATION_ExSyn/techmap_01.v) (./PRESENTATION_ExSyn/techmap_01.ys) Overfull \vbox (77.37361pt too high) detected at line 131 [143] (./presentation.vrb) Overfull \vbox (2.99165pt too high) detected at line 159 [144] (./presentation.vrb (./PRESENTATION_ExOth/axis_master.v Overfull \hbox (28.73796pt too wide) in paragraph at lines 1--2 [][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 16--17 [][][][][][][][][][] ) (./PRESENTATION_ExOth/axis_test.v Overfull \hbox (34.73802pt too wide) in paragraph at lines 9--11 [][][][][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 13--14 [][][][][][][][][][][][][][][][][][][] Underfull \hbox (badness 10000) in paragraph at lines 14--15 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 16--17 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 17--18 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 18--19 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 20--21 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (10.73778pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.73769pt too wide) in paragraph at lines 22--23 [][][][][][][][][][][][][][][][][][][] )) Overfull \vbox (31.79161pt too high) detected at line 177 [145] (./presentation.vrb) [146] [147]) (./PRESENTATION_Prog.tex [148] [149] [150] (./presentation.vrb) [151] [152] [153] [154] (./presentation.vrb (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty)) [155] (./presentation.vrb Underfull \hbox (badness 10000) in paragraph at lines 17--17 []\T1/LinuxLibertineMonoT-TLF/regular/n/10.95 start_offset ) [156] (./presentation.vrb) [157] (./presentation.vrb) [158] (./presentation.vrb Overfull \hbox (20.82083pt too wide) in paragraph at lines 25--26 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (42.42105pt too wide) in paragraph at lines 28--29 [][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (35.22098pt too wide) in paragraph at lines 29--30 [][][][][][][][][][][][][][][][][] Overfull \hbox (24.42087pt too wide) in paragraph at lines 30--31 [][][][][][][][][][][][][][][] Overfull \hbox (28.0209pt too wide) in paragraph at lines 31--32 [][][][][][][][][][][][][] ) [159] (./presentation.vrb) [160] (./presentation.vrb Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][][][][] ) [161] (./presentation.vrb) Overfull \vbox (2.59166pt too high) detected at line 400 [162] [163] (./presentation.vrb) [164] (./presentation.vrb) [165] (./presentation.vrb Overfull \hbox (41.22104pt too wide) in paragraph at lines 15--16 [][][][][][][][][][][][][][][][][][][][][][][][][][][] ) [166] (./presentation.vrb Overfull \hbox (60.42123pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (2.82065pt too wide) in paragraph at lines 21--22 [][][][][][][][][][][][][][][][] ) [167] (./presentation.vrb) [168] [169]) (./presentation.aux (./PRESENTATION_Intro.aux) (./PRESENTATION_ExSyn.aux) (./PRESENTATION_ExAdv.aux ) (./PRESENTATION_ExOth.aux) (./PRESENTATION_Prog.aux)) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathsy.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l ibertine/lbtn_25tcsq.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/liberti ne/lbtn_dhi6qf.enc} Output written on presentation.pdf (169 pages, 814623 bytes). Transcript written on presentation.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux presentation.aux presentation.snm presentation.nav presentation.toc + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new cd manual && bash manual.sh md5sum: '*.bbl': No such file or directory md5sum: '*.blg': No such file or directory + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) No file weblink.aux. Writing index file manual.idx No file manual.aux. (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) ABD: EveryShipout initializing macros [1{/usr/share/texlive/texmf-dist/fonts/ma p/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 168. [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] [4] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 5 undefined on input lin e 17. Package natbib Warning: Citation `VerilogSynth' on page 5 undefined on input li ne 17. Package natbib Warning: Citation `VHDL' on page 5 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 5 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 5 undefined on input line 27. Package natbib Warning: Citation `LogicSynthesis' on page 5 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 5 undefined on input line 43. LaTeX Warning: Reference `chapter:sota' on page 5 undefined on input line 52. [5] LaTeX Warning: Reference `chapter:intro' on page 6 undefined on input line 61. LaTeX Warning: Reference `chapter:basics' on page 6 undefined on input line 63. LaTeX Warning: Reference `chapter:approach' on page 6 undefined on input line 6 6. LaTeX Warning: Reference `chapter:overview' on page 6 undefined on input line 7 0. LaTeX Warning: Reference `chapter:celllib' on page 6 undefined on input line 75 . LaTeX Warning: Reference `chapter:prog' on page 6 undefined on input line 79. LaTeX Warning: Reference `chapter:verilog' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:opt' on page 6 undefined on input line 84. LaTeX Warning: Reference `chapter:techmap' on page 6 undefined on input line 84 . LaTeX Warning: Reference `chapter:eval' on page 6 undefined on input line 89. LaTeX Warning: Reference `commandref' on page 6 undefined on input line 95. LaTeX Warning: Reference `chapter:sota' on page 6 undefined on input line 96. ) [6] (./CHAPTER_Basics.tex Chapter 2. LaTeX Warning: Reference `fig:Basics_abstractions' on page 7 undefined on input line 18. Package natbib Warning: Citation `ABEL' on page 7 undefined on input line 68. [7] Package natbib Warning: Citation `IP-XACT' on page 8 undefined on input line 85 . Package natbib Warning: Citation `C_to_Verilog' on page 8 undefined on input li ne 101. Package natbib Warning: Citation `LegUp' on page 8 undefined on input line 101. (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 8 undefined on input line 139. [8] Package natbib Warning: Citation `MultiLevelLogicSynth' on page 9 undefined on input line 199. Package natbib Warning: Citation `ABC' on page 9 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 9 undefined on input line 206. Package natbib Warning: Citation `MVSIS' on page 9 undefined on input line 206. Package natbib Warning: Citation `ABC' on page 9 undefined on input line 209. [9] Package natbib Warning: Citation `Verilog2005' on page 10 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 10 undefined on input l ine 245. (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [10] [11] Package natbib Warning: Citation `Cummings00' on page 12 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 12 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 12 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 12 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 391. [12] [13] LaTeX Warning: Reference `fig:Basics_flow' on page 14 undefined on input line 5 02. [14] Package natbib Warning: Citation `Dragonbook' on page 15 undefined on input lin e 585. LaTeX Warning: Reference `tab:Basics_tokens' on page 15 undefined on input line 590. Package natbib Warning: Citation `flex' on page 15 undefined on input line 609. Package natbib Warning: Citation `bison' on page 15 undefined on input line 623 . Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] LaTeX Warning: Reference `fig:Basics_parsetree' on page 15 undefined on input l ine 669. [15] LaTeX Warning: Reference `fig:Basics_ast' on page 16 undefined on input line 67 9. LaTeX Warning: Reference `fig:Basics_parsetree' on page 16 undefined on input l ine 680. Package natbib Warning: Citation `Dragonbook' on page 16 undefined on input lin e 683. ) [16] [17] (./CHAPTER_Approach.tex Chapter 3. LaTeX Warning: Reference `fig:approach_flow' on page 18 undefined on input line 13. [18] Package natbib Warning: Citation `LibertyFormat' on page 19 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [19] LaTeX Warning: Reference `commandref' on page 20 undefined on input line 144. ) [20] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 21 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 21 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 21 undefined on input line 14. Package natbib Warning: Citation `k68' on page 21 undefined on input line 14. LaTeX Warning: Reference `fig:Overview_flow' on page 21 undefined on input line 26. [21] LaTeX Warning: Reference `fig:Overview_RTLIL' on page 22 undefined on input lin e 103. [22] [23] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module LaTeX Warning: Reference `fig:Overview_RTLIL' on page 24 undefined on input lin e 201. [24] [25] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [26] [27] LaTeX Warning: Reference `sec:memcells' on page 28 undefined on input line 458. LaTeX Warning: Reference `sec:typusecase' on page 28 undefined on input line 46 6. [28] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [29] (./CHAPTER_CellLib.tex Chapter 5. LaTeX Warning: Reference `tab:CellLib_unary' on page 30 undefined on input line 45. [30] LaTeX Warning: Reference `tab:CellLib_binary' on page 31 undefined on input lin e 98. [31] [32] [33] [34] LaTeX Warning: Reference `tab:CellLib_gates' on page 35 undefined on input line 437. Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [35] Package natbib Warning: Citation `ABC' on page 36 undefined on input line 460. Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [36]) [37] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [38] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [39] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [40] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [41] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [42] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [43] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [44] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [45] (./CHAPTER_Verilog.tex Chapter 7. LaTeX Warning: Reference `fig:Verilog_flow' on page 46 undefined on input line 9. [46] Package natbib Warning: Citation `flex' on page 47 undefined on input line 95. Underfull \hbox (badness 4752) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/b/n/1 0 ?\T1/LinuxLibertineT-TLF/m/n/10 . Its source code can be found in Package natbib Warning: Citation `bison' on page 47 undefined on input line 117 . Overfull \hbox (83.20296pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/b /n/10 ?\T1/LinuxLibertineT-TLF/m/n/10 . Its source code can be found in \T1/Lin uxLibertineMonoT-TLF/regular/n/10 frontends/verilog/parser.y LaTeX Warning: Reference `tab:Verilog_AstNodeType' on page 47 undefined on inpu t line 392. Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [47] [48] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [49] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [50] [51] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [52] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [53] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [54] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [55] [56] (./CHAPTER_Optimize.tex Chapter 8. LaTeX Warning: Reference `chapter:celllib' on page 57 undefined on input line 3 7. LaTeX Warning: Reference `tab:opt_expr_and' on page 57 undefined on input line 64. Package natbib Warning: Citation `Verilog2005' on page 57 undefined on input li ne 69. [57] [58] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [59] Package natbib Warning: Citation `fsmextract' on page 60 undefined on input lin e 202. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [60] LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 276. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 278. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 279. LaTeX Warning: Reference `enum:fsm_extract_cealg_try' on page 61 undefined on i nput line 281. [61] Package natbib Warning: Citation `Formality' on page 62 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 62 undefined on input line 320. LaTeX Warning: Reference `sec:techmap_extern' on page 62 undefined on input lin e 323. ) [62] (./CHAPTER_Techmap.tex Chapter 9. LaTeX Warning: Reference `sec:celllib_gates' on page 63 undefined on input line 12. LaTeX Warning: Reference `cmd:techmap' on page 63 undefined on input line 34. [63] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 64 undefine d on input line 61. LaTeX Warning: Reference `sec:SubCircuit' on page 64 undefined on input line 66 . Package natbib Warning: Citation `LibertyFormat' on page 64 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 64 undefined on input line 92. LaTeX Warning: Reference `sec:filterlib' on page 64 undefined on input line 100 . ) [64] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 65 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 65 undefi ned on input line 26. LaTeX Warning: Reference `cmd:extract' on page 65 undefined on input line 27. LaTeX Warning: Reference `cmd:sat' on page 65 undefined on input line 34. ) [65] (./CHAPTER_Auxprogs.tex Appendix B. LaTeX Warning: Reference `chapter:prog' on page 66 undefined on input line 11. LaTeX Warning: Reference `sec:techmap_extern' on page 66 undefined on input lin e 17. Package natbib Warning: Citation `ABC' on page 66 undefined on input line 22. ) [66] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [67] [68] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [69] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [70] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [71] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [72] [73] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [75] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [76] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [77] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [80] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] [92] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] [95] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [102] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [112] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [119] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [120] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] [124] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [131] [132] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] [138] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [139] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [142] [143] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [145] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] [147] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [150] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [154] [155] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [160] [161] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [162] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [166] [167] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [168] [169]) [170] (./CHAPTER_Appnotes.tex Appendix D. LaTeX Warning: Reference `app:010' on page 171 undefined on input line 16. LaTeX Warning: Reference `app:011' on page 171 undefined on input line 17. LaTeX Warning: Reference `app:012' on page 171 undefined on input line 18. [171] [172 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [173 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [174 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [175 <./APPNOTE_011_Design _Investigation.pdf>] [176 <./APPNOTE_011_Design_Investigation.pdf>] [177 <./APP NOTE_011_Design_Investigation.pdf>] [178 <./APPNOTE_011_Design_Investigation.pd f>] [179 <./APPNOTE_011_Design_Investigation.pdf>] [180 <./APPNOTE_011_Design_I nvestigation.pdf>] [181 <./APPNOTE_011_Design_Investigation.pdf>] [182 <./APPNO TE_011_Design_Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf> ] [184 <./APPNOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [186 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [187 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [188 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) No file manual.bbl. No file weblink.bbl. Package natbib Warning: There were undefined citations. (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) Package rerunfilecheck Warning: File `manual.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/d vips/lm/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn _naooyc.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6q f.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (188 pages, 1391643 bytes). Transcript written on manual.log. + false + bibtex manual.aux This is BibTeX, Version 0.99d (TeX Live 2019) The top-level auxiliary file: manual.aux A level-1 auxiliary file: CHAPTER_Intro.aux A level-1 auxiliary file: CHAPTER_Basics.aux A level-1 auxiliary file: CHAPTER_Approach.aux A level-1 auxiliary file: CHAPTER_Overview.aux A level-1 auxiliary file: CHAPTER_CellLib.aux A level-1 auxiliary file: CHAPTER_Prog.aux A level-1 auxiliary file: CHAPTER_Verilog.aux A level-1 auxiliary file: CHAPTER_Optimize.aux A level-1 auxiliary file: CHAPTER_Techmap.aux A level-1 auxiliary file: CHAPTER_Auxlibs.aux A level-1 auxiliary file: CHAPTER_Auxprogs.aux A level-1 auxiliary file: CHAPTER_Appnotes.aux The style file: alphadin.bst Database file #1: literature.bib Warning--to sort, need author or key in Verilog2005 Warning--to sort, need author or key in VerilogSynth Warning--to sort, need author or key in VHDL Warning--to sort, need author or key in VHDLSynth Warning--to sort, need author or key in IP-XACT Warning--empty pages in Cummings00 Warning--empty pages in intersynthFdlBookChapter Warning--empty author in IP-XACT Warning--empty author in VerilogSynth Warning--empty author in Verilog2005 Warning--empty author in VHDLSynth Warning--empty author in VHDL (There were 12 warnings) + bibtex weblink.aux This is BibTeX, Version 0.99d (TeX Live 2019) The top-level auxiliary file: weblink.aux The style file: abbrv.bst Database file #1: weblinks.bib Warning--to sort, need author or key in C_to_Verilog Warning--to sort, need author or key in LegUp Warning--to sort, need author or key in flex Warning--to sort, need author or key in bison Warning--to sort, need author or key in LibertyFormat Warning--to sort, need author or key in OR1200 Warning--to sort, need author or key in openMSP430 Warning--to sort, need author or key in i2cmaster Warning--to sort, need author or key in k68 Warning--to sort, need author or key in Formality (There were 10 warnings) + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] Package natbib Warning: Citation `BACC' on page 2 undefined on input line 168. [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. Package natbib Warning: Citation `Verilog2005' on page 12 undefined on input li ne 17. Package natbib Warning: Citation `VerilogSynth' on page 12 undefined on input l ine 17. Package natbib Warning: Citation `VHDL' on page 12 undefined on input line 20. Package natbib Warning: Citation `VHDLSynth' on page 12 undefined on input line 20. Package natbib Warning: Citation `intersynth' on page 12 undefined on input lin e 27. Package natbib Warning: Citation `LogicSynthesis' on page 12 undefined on input line 42. Package natbib Warning: Citation `Dragonbook' on page 12 undefined on input lin e 43. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. Package natbib Warning: Citation `ABEL' on page 14 undefined on input line 68. [14] Package natbib Warning: Citation `IP-XACT' on page 15 undefined on input line 8 5. Package natbib Warning: Citation `C_to_Verilog' on page 15 undefined on input l ine 101. Package natbib Warning: Citation `LegUp' on page 15 undefined on input line 101 . (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Package natbib Warning: Citation `MultiLevelLogicSynth' on page 16 undefined on input line 199. Package natbib Warning: Citation `ABC' on page 16 undefined on input line 205. Package natbib Warning: Citation `AIGER' on page 16 undefined on input line 206 . Package natbib Warning: Citation `MVSIS' on page 16 undefined on input line 206 . Package natbib Warning: Citation `ABC' on page 16 undefined on input line 209. [16] Package natbib Warning: Citation `Verilog2005' on page 17 undefined on input li ne 244. Package natbib Warning: Citation `VerilogSynth' on page 17 undefined on input l ine 245. (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] Package natbib Warning: Citation `Cummings00' on page 19 undefined on input lin e 356. LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Package natbib Warning: Citation `Dragonbook' on page 22 undefined on input lin e 585. Package natbib Warning: Citation `flex' on page 22 undefined on input line 609. Package natbib Warning: Citation `bison' on page 22 undefined on input line 623 . Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22] Package natbib Warning: Citation `Dragonbook' on page 23 undefined on input lin e 683. ) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] Package natbib Warning: Citation `LibertyFormat' on page 26 undefined on input line 107. (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. Package natbib Warning: Citation `OR1200' on page 28 undefined on input line 13 . Package natbib Warning: Citation `openMSP430' on page 28 undefined on input lin e 13. Package natbib Warning: Citation `i2cmaster' on page 28 undefined on input line 14. Package natbib Warning: Citation `k68' on page 28 undefined on input line 14. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Package natbib Warning: Citation `ABC' on page 43 undefined on input line 460. Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Package natbib Warning: Citation `flex' on page 54 undefined on input line 95. Underfull \hbox (badness 2103) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [\T1/LinuxLibertineT-TLF/b/n/10 ? \T1/LinuxLibertineT-TLF/m/n/10 ]. Its sourc e code can be found in Package natbib Warning: Citation `bison' on page 54 undefined on input line 117 . Overfull \hbox (92.96228pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [\T1/LinuxLibertineT-TLF/b/n/10 ? \T1/LinuxLibertineT-TLF/m/n/10 ]. Its s ource code can be found in \T1/LinuxLibertineMonoT-TLF/regular/n/10 frontends/v erilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. Package natbib Warning: Citation `Verilog2005' on page 64 undefined on input li ne 69. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Package natbib Warning: Citation `fsmextract' on page 67 undefined on input lin e 202. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68] Package natbib Warning: Citation `Formality' on page 69 undefined on input line 315. Package natbib Warning: Citation `ABC' on page 69 undefined on input line 320. ) [69] (./CHAPTER_Techmap.tex Chapter 9. [70] Package natbib Warning: Citation `intersynthFdlBookChapter' on page 71 undefine d on input line 61. Package natbib Warning: Citation `LibertyFormat' on page 71 undefined on input line 74. Package natbib Warning: Citation `ABC' on page 71 undefined on input line 92. ) [71] (./CHAPTER_Auxlibs.tex Appendix A. Package natbib Warning: Citation `bigint' on page 72 undefined on input line 16 . Package natbib Warning: Citation `UllmannSubgraphIsomorphism' on page 72 undefi ned on input line 26. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. Package natbib Warning: Citation `ABC' on page 73 undefined on input line 22. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) Package natbib Warning: There were undefined citations. [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux) Package natbib Warning: Citation(s) may have changed. (natbib) Rerun to get citations correct. ) LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1451163 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 204, line 4 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. [14] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Overfull \hbox (12.97556pt too wide) in paragraph at lines 198--204 []\T1/LinuxLibertineT-TLF/m/n/10 Therefore mod-ern logic syn-the-sis tools uti- lize much more com-pli-cated \T1/LinuxLibertineT-TLF/m/it/10 multi-level logic syn-the-sis \T1/LinuxLibertineT-TLF/m/n/10 al-go-rithms [[]]. [16] (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22]) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Underfull \hbox (badness 3009) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [[]]. Its source code can be found in Overfull \hbox (95.32294pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [[]]. Its source code can be found in \T1/LinuxLibertineMonoT-TLF/regular /n/10 frontends/verilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Overfull \hbox (7.16573pt too wide) in paragraph at lines 201--203 []\T1/LinuxLibertineT-TLF/m/n/10 The al-go-rithms used for FSM de-tec-tion and ex-trac-tion are in-flu-enced by a more gen-eral re-ported tech-nique [[]]. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68]) [69] (./CHAPTER_Techmap.tex Chapter 9. [70]) [71] (./CHAPTER_Auxlibs.tex Appendix A. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1452461 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new autoloop.old autoloop.new differ: char 314, line 6 + cp autoloop.new autoloop.old + pdflatex -shell-escape -halt-on-error manual.tex This is pdfTeX, Version 3.14159265-2.6-1.40.20 (TeX Live 2019) (preloaded format=pdflatex) \write18 enabled. entering extended mode (./manual.tex LaTeX2e <2018-12-01> (/usr/share/texlive/texmf-dist/tex/latex/base/book.cls Document Class: book 2018/09/03 v1.4i Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/bk10.clo)) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def)) (/usr/share/texlive/texmf-dist/tex/latex/lm/lmodern.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/libertine.sty (/usr/share/texlive/texmf-dist/tex/generic/ifxetex/ifxetex.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifluatex.sty) (/usr/share/texlive/texmf-dist/tex/latex/xkeyval/xkeyval.sty (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkeyval.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/xkvutils.tex (/usr/share/texlive/texmf-dist/tex/generic/xkeyval/keyval.tex)))) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu))) (/usr/share/texlive/texmf-dist/tex/latex/mweights/mweights.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/fontaxes/fontaxes.sty) (/usr/share/texlive/texmf-dist/tex/latex/libertine/LinLibertine_I.tex)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/colortbl/colortbl.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty))) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pdfpages.sty (/usr/share/texlive/texmf-dist/tex/latex/base/ifthen.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/calc.sty) (/usr/share/texlive/texmf-dist/tex/latex/eso-pic/eso-pic.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/atbegshi.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/infwarerr.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/ifpdf.sty))) (/usr/share/texlive/texmf-dist/tex/latex/pdfpages/pppdftex.def)) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.sty **************************************** * Local config file subfigure.cfg used * **************************************** (/usr/share/texlive/texmf-dist/tex/latex/subfigure/subfigure.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/caption/caption.sty (/usr/share/texlive/texmf-dist/tex/latex/caption/caption3.sty)) (/usr/share/texlive/texmf-dist/tex/latex/moreverb/moreverb.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/verbatim.sty)) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/graphics/rotating.sty) (/usr/share/texlive/texmf-dist/tex/latex/natbib/natbib.sty) (/usr/share/texlive/texmf-dist/tex/generic/epsf/epsf.sty This is `epsf.tex' v2.7.4 <14 February 2011> ) (/usr/share/texlive/texmf-dist/tex/latex/doublestroke/dsfont.sty) (/usr/share/texlive/texmf-dist/tex/latex/algorithm2e/algorithm2e.sty (/usr/share/texlive/texmf-dist/tex/latex/ifoddpage/ifoddpage.sty) (/usr/share/texlive/texmf-dist/tex/latex/tools/xspace.sty) (/usr/share/texlive/texmf-dist/tex/latex/relsize/relsize.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty (/usr/share/texlive/texmf-dist/tex/latex/base/latin1.def)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-hyperref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/hobsub-generic.sty)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/kvoptions.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/hyperref.cfg) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/backref.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/rerunfilecheck.sty)) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def) (/usr/share/texlive/texmf-dist/tex/latex/multibib/multibib.sty) (/usr/share/texlive/texmf-dist/tex/latex/multirow/multirow.sty) (/usr/share/texlive/texmf-dist/tex/latex/booktabs/booktabs.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.sty (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/listings.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/pifont.sty (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upzd.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/upsy.fd)) (/usr/share/texlive/texmf-dist/tex/latex/skull/skull.sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/frontendlayer/tikz.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgf.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfrcs.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-common-lists.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfutil-latex.def (/usr/share/texlive/texmf-dist/tex/latex/ms/everyshi.sty)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfrcs.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/pgf.revision.tex))) (/usr/share/texlive/texmf-dist/tex/latex/pgf/basiclayer/pgfcore.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/systemlayer/pgfsys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeysfiltered.code.t ex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgf.cfg) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-pdftex.def (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsys-common-pdf.de f))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsyssoftpath.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/systemlayer/pgfsysprotocol.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcore.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathcalc.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathutil.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathparser.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.basic.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.trigonomet ric.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.random.cod e.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.comparison .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.base.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.round.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.misc.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfunctions.integerari thmetics.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmathfloat.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfint.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepoints.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathconstruct. code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathusage.code .tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorescopes.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoregraphicstate.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransformation s.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorequick.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreobjects.code.t ex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepathprocessing .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorearrows.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreshade.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreimage.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoreexternal.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorelayers.code.te x) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcoretransparency.c ode.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorepatterns.code. tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/basiclayer/pgfcorerdf.code.tex)) ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleshapes.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmoduleplot.code.tex ) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-0-65 .sty) (/usr/share/texlive/texmf-dist/tex/latex/pgf/compatibility/pgfcomp-version-1-18 .sty)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgffor.sty (/usr/share/texlive/texmf-dist/tex/latex/pgf/utilities/pgfkeys.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgfkeys.code.tex)) (/usr/share/texlive/texmf-dist/tex/latex/pgf/math/pgfmath.sty (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/utilities/pgffor.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/math/pgfmath.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/tikz.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryplothandlers .code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/modules/pgfmodulematrix.code.tex ) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarytopaths.code.tex))) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarycalc.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryarrows.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/pgflibraryarrows.code. tex)) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryscopes.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibrarythrough.code.tex) (/usr/share/texlive/texmf-dist/tex/generic/pgf/frontendlayer/tikz/libraries/tik zlibraryshapes.geometric.code.tex (/usr/share/texlive/texmf-dist/tex/generic/pgf/libraries/shapes/pgflibraryshape s.geometric.code.tex)) (./weblink.aux) Writing index file manual.idx (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineT-TLF.fd) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/grfext.sty) (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/pdflscape.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/lscape.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/generic/oberdiek/gettitlestring.sty)) (./manual.out) (./manual.out) ABD: EveryShipout initializing macros [1{/usr/sha re/texlive/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (/usr/share/texlive/texmf-dist/tex/latex/lm/ot1lmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omllmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omslmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/lm/omxlmex.fd) [3] (./manual.toc [4] [5] [6] [7] [8] [9] [10]) [11] (./CHAPTER_Intro.tex Chapter 1. LaTeX Warning: Reference `chapter:sota' on page 12 undefined on input line 52. [12] LaTeX Warning: Reference `chapter:eval' on page 13 undefined on input line 89. LaTeX Warning: Reference `chapter:sota' on page 13 undefined on input line 96. ) [13] (./CHAPTER_Basics.tex Chapter 2. [14] (/usr/share/texlive/texmf-dist/tex/latex/libertine/T1LinuxLibertineMonoT-TLF.fd ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang3.sty) LaTeX Warning: Reference `chapter:sota' on page 15 undefined on input line 139. [15] Overfull \hbox (12.97556pt too wide) in paragraph at lines 198--204 []\T1/LinuxLibertineT-TLF/m/n/10 Therefore mod-ern logic syn-the-sis tools uti- lize much more com-pli-cated \T1/LinuxLibertineT-TLF/m/it/10 multi-level logic syn-the-sis \T1/LinuxLibertineT-TLF/m/n/10 al-go-rithms [[]]. [16] (/usr/share/texlive/texmf-dist/tex/latex/libertine/TS1LinuxLibertineT-TLF.fd) [17] [18] LaTeX Warning: Reference `sec:blocking_nonblocking' on page 19 undefined on inp ut line 363. LaTeX Warning: Reference `fig:StateOfTheArt_for' on page 19 undefined on input line 390. LaTeX Warning: Reference `fig:StateOfTheArt_gen' on page 19 undefined on input line 391. LaTeX Warning: Reference `chapter:sota' on page 19 undefined on input line 391. [19] [20] [21] Overfull \hbox (9.2878pt too wide) in paragraph at lines 633--665 [] [] [22]) [23] [24] (./CHAPTER_Approach.tex Chapter 3. [25] (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) Overfull \hbox (31.07037pt too wide) in paragraph at lines 113--114 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [26]) [27] (./CHAPTER_Overview.tex Chapter 4. [28] [29] [30] Overfull \hbox (8.12611pt too wide) in paragraph at lines 195--200 \T1/LinuxLibertineT-TLF/m/n/10 The RTLIL::Design ob-ject is ba-si-cally just a con-tainer for RTLIL::Module ob-jects. In ad-di-tion to a list of RTLIL::Module [31] [32] Overfull \hbox (3.44838pt too wide) in paragraph at lines 324--330 []\T1/LinuxLibertineT-TLF/m/n/10 This RTLIL::Process con-tains two RTLIL::SyncR ule ob-jects, two RTLIL::SwitchRule ob-jects and five RTLIL::CaseRule Overfull \hbox (3.24974pt too wide) in paragraph at lines 338--341 []\T1/LinuxLibertineT-TLF/m/n/10 An RTLIL::CaseRule is a con-tainer for zero or more as-sign-ments (RTLIL::SigSig) and zero or more RTLIL::SwitchRule [33] [34] [35] Underfull \hbox (badness 1675) in paragraph at lines 521--526 []\T1/LinuxLibertineT-TLF/m/n/10 The top-level Make-file in-cludes \T1/LinuxLib ertineMonoT-TLF/regular/n/10 frontends/*/Makefile.inc\T1/LinuxLibertineT-TLF/m/ n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 passes/*/Makefile.inc \T1/Linux LibertineT-TLF/m/n/10 and Overfull \hbox (28.0696pt too wide) in paragraph at lines 528--530 []\T1/LinuxLibertineT-TLF/m/n/10 Good start-ing points for read-ing ex-am-ple s ource code to learn how to write passes are \T1/LinuxLibertineMonoT-TLF/regular /n/10 passes/opt/opt_rmdff.cc ) [36] (./CHAPTER_CellLib.tex Chapter 5. [37] [38] [39] [40] [41] Overfull \hbox (2.224pt too wide) in paragraph at lines 443--449 []\T1/LinuxLibertineT-TLF/m/n/10 The cell types \T1/LinuxLibertineMonoT-TLF/reg ular/n/10 $_DFF_NN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TL F/regular/n/10 $_DFF_NN1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMon oT-TLF/regular/n/10 $_DFF_NP0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $_DFF_NP1_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLi bertineMonoT-TLF/regular/n/10 $_DFF_PN0_\T1/LinuxLibertineT-TLF/m/n/10 , \T1/Li nuxLibertineMonoT-TLF/regular/n/10 $_DFF_PN1_\T1/LinuxLibertineT-TLF/m/n/10 , [42] Underfull \hbox (badness 1701) in paragraph at lines 464--466 []\T1/LinuxLibertineT-TLF/m/n/10 Add in-for-ma-tion about \T1/LinuxLibertineMon oT-TLF/regular/n/10 $assert\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineM onoT-TLF/regular/n/10 $assume\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $live\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $fair\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertin eMonoT-TLF/regular/n/10 $cover\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLiberti neMonoT-TLF/regular/n/10 $equiv\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibert ineMonoT-TLF/regular/n/10 $initstate\T1/LinuxLibertineT-TLF/m/n/10 , [43]) [44] (./CHAPTER_Prog.tex Chapter 6. (../CodingReadme Overfull \hbox (91.07098pt too wide) in paragraph at lines 33--35 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [45] Overfull \hbox (7.07013pt too wide) in paragraph at lines 47--48 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 48--49 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 59--60 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 60--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 63--64 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 76--77 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 79--80 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [46] Overfull \hbox (13.07019pt too wide) in paragraph at lines 96--97 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [47] Overfull \hbox (7.07013pt too wide) in paragraph at lines 146--147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 167--169 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 169--170 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 173--174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 189--190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 190--191 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 191--192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 192--195 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [48] Overfull \hbox (55.07062pt too wide) in paragraph at lines 198--199 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 209--210 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 210--214 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) [49] (./CHAPTER_Prog/stubnets.cc Overfull \hbox (79.07086pt too wide) in paragraph at lines 19--20 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 27--28 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (43.0705pt too wide) in paragraph at lines 30--32 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [50] Overfull \hbox (103.0711pt too wide) in paragraph at lines 58--59 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 61--62 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 65--66 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (115.07123pt too wide) in paragraph at lines 67--68 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 74--75 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (37.07043pt too wide) in paragraph at lines 77--78 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (97.07104pt too wide) in paragraph at lines 81--82 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 83--84 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ] Overfull \hbox (43.0705pt too wide) in paragraph at lines 93--94 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (55.07062pt too wide) in paragraph at lines 101--102 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [51] Overfull \hbox (31.07037pt too wide) in paragraph at lines 106--108 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 126--127 [][][][][][][][][][][][][][][][][] ) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang1.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstlang2.sty) (/usr/share/texlive/texmf-dist/tex/latex/listings/lstmisc.sty) (./CHAPTER_Prog/Makefile Overfull \hbox (91.07098pt too wide) in paragraph at lines 4--5 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (67.07074pt too wide) in paragraph at lines 8--10 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] ) (./CHAPTER_Prog/test.v)) [52] (./CHAPTER_Verilog.tex Chapter 7. [53] Underfull \hbox (badness 3009) in paragraph at lines 95--99 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Lexer is writ-ten us-ing the lexer gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 flex \T1/LinuxLibertineT-TLF/m/n/1 0 [[]]. Its source code can be found in Overfull \hbox (95.32294pt too wide) in paragraph at lines 117--119 \T1/LinuxLibertineT-TLF/m/n/10 The Ver-ilog Parser is writ-ten us-ing the parse r gen-er-a-tor \T1/LinuxLibertineT-TLF/m/it/10 bi-son \T1/LinuxLibertineT-TLF/m /n/10 [[]]. Its source code can be found in \T1/LinuxLibertineMonoT-TLF/regular /n/10 frontends/verilog/parser.y Overfull \hbox (4.14989pt too wide) in paragraph at lines 399--403 \T1/LinuxLibertineT-TLF/m/n/10 am-ple the mem-ber [][][][][][][] can hold a str ing value and is used e.g. in the \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_ IDENTIFIER Overfull \hbox (25.69577pt too wide) in paragraph at lines 403--408 \T1/LinuxLibertineT-TLF/m/n/10 Each [][][][][] is au-to-mat-i-cally an-no-tated with the cur-rent source code lo-ca-tion by the [][][][][] [54] [55] Overfull \hbox (11.2028pt too wide) in paragraph at lines 447--449 []\T1/LinuxLibertineT-TLF/m/n/10 Perform const fold-ing where it is nec-es-sary (e.g. in the value part of \T1/LinuxLibertineMonoT-TLF/regular/n/10 AST_PARAME TER\T1/LinuxLibertineT-TLF/m/n/10 , \T1/LinuxLibertineMonoT-TLF/regular/n/10 AS T_LOCALPARAM\T1/LinuxLibertineT-TLF/m/n/10 , [56] Overfull \hbox (17.49321pt too wide) in paragraph at lines 488--494 \T1/LinuxLibertineT-TLF/m/n/10 in-stance of [][][][][]. This ob-ject then gen-e r-ates the [][][][][] [57] [58] Overfull \hbox (30.01938pt too wide) in paragraph at lines 595--599 []\T1/LinuxLibertineT-TLF/m/n/10 Note that in con-trast to this, the or-der wit hin the [][][][][] ob-jects within a [][][][][] Underfull \hbox (badness 1360) in paragraph at lines 600--605 []\T1/LinuxLibertineT-TLF/m/n/10 The whole [][][][][]/[][][][][] tree de-scribe s an asyn-chronous cir-cuit. Overfull \hbox (27.5457pt too wide) in paragraph at lines 608--615 \T1/LinuxLibertineT-TLF/m/n/10 ob-ject is later sim-ply trans-formed into a set of d-type flip-flops and the [][][][][]/[][][][][] Underfull \hbox (badness 10000) in paragraph at lines 617--621 []\T1/LinuxLibertineT-TLF/m/n/10 In more com-plex ex-am-ples (e.g. asyn-chronou s re-sets) the part of the Underfull \hbox (badness 1087) in paragraph at lines 617--621 [][][][][]\T1/LinuxLibertineT-TLF/m/n/10 /[][][][][] tree that de-scribes the a syn-chronous re-set must first be Underfull \hbox (badness 10000) in paragraph at lines 629--633 \T1/LinuxLibertineT-TLF/m/n/10 These two vari-ables hold the re-place-ment pat- tern that should be used by Underfull \hbox (badness 2027) in paragraph at lines 629--633 [][][][][][][][] \T1/LinuxLibertineT-TLF/m/n/10 for sig-nals with block-ing as- sign-ments. Af-ter ini-tial-iza-tion of Overfull \hbox (16.68127pt too wide) in paragraph at lines 639--642 \T1/LinuxLibertineT-TLF/m/n/10 A pointer to a [][][][][] ob-ject. Ini-tially th is is the root case of the gen-er-ated [][][][][]. [59] Overfull \hbox (4.04175pt too wide) in paragraph at lines 654--657 []\T1/LinuxLibertineT-TLF/m/n/10 During this re-cur-sive eval-u-a-tion, three d if-fer-ent rel-e-vant types of AST nodes can be dis-cov-ered: \T1/LinuxLibertin eMonoT-TLF/regular/n/10 AST_ASSIGN_LE Underfull \hbox (badness 10000) in paragraph at lines 700--703 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 703--708 []\T1/LinuxLibertineT-TLF/m/n/10 A new [][][][][] ob-ject is gen-er-ated, the s e-lec-tion ex-pres-sion is eval-u-ated Underfull \hbox (badness 10000) in paragraph at lines 703--708 \T1/LinuxLibertineT-TLF/m/n/10 us-ing [][][][][][][][] (with the use of [][][] and Underfull \hbox (badness 1231) in paragraph at lines 703--708 [][][]\T1/LinuxLibertineT-TLF/m/n/10 ) and added to the [][][][][] ob-ject and the ob-ject is added Underfull \hbox (badness 1226) in paragraph at lines 711--714 []\T1/LinuxLibertineT-TLF/m/n/10 New tem-po-rary sig-nals are gen-er-ated for a ll sig-nals in [][][] and stored in Underfull \hbox (badness 10000) in paragraph at lines 714--717 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals in [][][] are mapped us-ing [][][ ] and [60] Underfull \hbox (badness 10000) in paragraph at lines 722--726 []\T1/LinuxLibertineT-TLF/m/n/10 Set [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 729--732 []\T1/LinuxLibertineT-TLF/m/n/10 Append [][][] to [][][] and ap-pend Underfull \hbox (badness 3514) in paragraph at lines 737--740 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to t he new Underfull \hbox (badness 10000) in paragraph at lines 752--755 []\T1/LinuxLibertineT-TLF/m/n/10 The val-ues of [][][], [][][], [][][] and Underfull \hbox (badness 10000) in paragraph at lines 755--758 []\T1/LinuxLibertineT-TLF/m/n/10 The sig-nals from [][][] are re-moved from the Underfull \hbox (badness 10000) in paragraph at lines 767--769 []\T1/LinuxLibertineT-TLF/m/n/10 Add an as-sign-ment from [][][] to [][][] to Overfull \hbox (0.63689pt too wide) in paragraph at lines 787--794 \T1/LinuxLibertineT-TLF/m/n/10 calls to [][][][][][][][] with a [][][]/[][][]-t uple that [61] Overfull \hbox (32.64174pt too wide) in paragraph at lines 797--800 \T1/LinuxLibertineT-TLF/m/n/10 The Pro-cess-Gen-er-a-tor con-verts a be-haviour al model in AST rep-re-sen-ta-tion to a be-havioural model in [][][][][] ) [62] [63] (./CHAPTER_Optimize.tex Chapter 8. [64] [65] Overfull \hbox (1.26599pt too wide) in paragraph at lines 129--132 \T1/LinuxLibertineT-TLF/m/n/10 This pass iden-ti-fies un-used sig-nals and cell s and re-moves them from the de-sign. It also cre-ates an \T1/LinuxLibertineMon oT-TLF/regular/n/10 \unused_bits Overfull \hbox (43.95105pt too wide) in paragraph at lines 190--196 \T1/LinuxLibertineT-TLF/m/n/10 at-tribute. The \T1/LinuxLibertineMonoT-TLF/regu lar/n/10 fsm_extract \T1/LinuxLibertineT-TLF/m/n/10 ex-tracts all FSMs marked u s-ing the \T1/LinuxLibertineMonoT-TLF/regular/n/10 \fsm_encoding \T1/LinuxLiber tineT-TLF/m/n/10 at-tribute (un-less \T1/LinuxLibertineMonoT-TLF/regular/n/10 \ fsm_encoding [66] Overfull \hbox (7.16573pt too wide) in paragraph at lines 201--203 []\T1/LinuxLibertineT-TLF/m/n/10 The al-go-rithms used for FSM de-tec-tion and ex-trac-tion are in-flu-enced by a more gen-eral re-ported tech-nique [[]]. Overfull \hbox (1.6189pt too wide) in paragraph at lines 220--224 []\T1/LinuxLibertineT-TLF/m/n/10 This heuris-tic has proven to work very well. It is pos-si-ble to over-write it by set-ting \T1/LinuxLibertineMonoT-TLF/regul ar/n/10 \fsm_encoding= "auto" [67] [68]) [69] (./CHAPTER_Techmap.tex Chapter 9. [70]) [71] (./CHAPTER_Auxlibs.tex Appendix A. ) [72] (./CHAPTER_Auxprogs.tex Appendix B. ) [73] Appendix C. (./command-reference-manual.tex Overfull \hbox (13.07019pt too wide) in paragraph at lines 8--9 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 12--13 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 13--15 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [74] [75] Overfull \hbox (1.07007pt too wide) in paragraph at lines 106--107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 111--112 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (31.07037pt too wide) in paragraph at lines 119--120 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 122--123 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 130--131 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 134--135 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 135--136 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 143--144 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [76] Overfull \hbox (7.07013pt too wide) in paragraph at lines 151--152 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 155--156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 156--157 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 163--164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 164--165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 165--166 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 178--181 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] [77] Overfull \hbox (1.07007pt too wide) in paragraph at lines 211--212 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 240--241 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 241--242 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [78] Overfull \hbox (7.07013pt too wide) in paragraph at lines 274--276 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [79] [80] Overfull \hbox (7.07013pt too wide) in paragraph at lines 373--374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [81] Overfull \hbox (13.07019pt too wide) in paragraph at lines 438--439 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 441--442 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 451--452 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [82] Overfull \hbox (7.07013pt too wide) in paragraph at lines 477--478 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 493--494 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.92607pt too wide) in paragraph at lines 507--507 []\T1/LinuxLibertineT-TLF/b/n/14.4 coolrunner2_sop -- break $sop cells into AND TER-M/ORTERM cells [83] Overfull \hbox (13.07019pt too wide) in paragraph at lines 529--530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 534--536 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 549--550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [84] Overfull \hbox (13.07019pt too wide) in paragraph at lines 609--612 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 624--625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 630--631 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [85] Overfull \hbox (1.07007pt too wide) in paragraph at lines 658--659 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 662--663 [][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 687--688 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [86] Overfull \hbox (19.07025pt too wide) in paragraph at lines 731--732 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [87] Overfull \hbox (19.07025pt too wide) in paragraph at lines 774--775 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [88] Overfull \hbox (1.07007pt too wide) in paragraph at lines 839--840 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [89] Overfull \hbox (1.07007pt too wide) in paragraph at lines 849--850 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 860--862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 880--881 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 881--882 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 890--891 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [90] Overfull \hbox (13.07019pt too wide) in paragraph at lines 941--942 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 942--943 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [91] Overfull \hbox (1.07007pt too wide) in paragraph at lines 983--984 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 999--1000 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1003--1004 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1007--1008 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [92] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1018--1019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1038--1039 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [93] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1080--1082 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1093--1094 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1104--1105 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1116--1117 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [94] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1155--1156 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1156--1157 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1157--1158 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1158--1159 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1159--1160 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1160--1162 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1163--1164 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1164--1165 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [95] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1173--1174 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1186--1187 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1188--1190 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [96] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1272--1273 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [97] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1285--1286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1286--1287 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1307--1308 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [98] [99] [100] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1434--1435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [101] [102] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1560--1561 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [103] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1599--1600 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1604--1605 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1605--1607 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1625--1626 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1633--1634 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [104] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1653--1654 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1682--1683 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1683--1684 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1686--1687 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [105] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1702--1703 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1710--1711 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1711--1712 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1714--1715 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 1715--1717 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 1717--1718 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [106] [107] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1829--1831 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1832--1833 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 1836--1837 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1845--1846 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1854--1855 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [108] Overfull \hbox (1.07007pt too wide) in paragraph at lines 1857--1858 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1865--1866 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [109] Overfull \hbox (49.07056pt too wide) in paragraph at lines 1937--1938 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1946--1947 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (73.0708pt too wide) in paragraph at lines 1952--1953 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [110] Overfull \hbox (7.07013pt too wide) in paragraph at lines 1969--1970 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.13467pt too wide) in paragraph at lines 1978--1978 []\T1/LinuxLibertineT-TLF/b/n/14.4 opt_demorgan -- Op-ti-mize re-duc-tions with De-Mor-gan equiv-a-lents Overfull \hbox (1.07007pt too wide) in paragraph at lines 1992--1993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [111] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2014--2015 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2015--2016 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2040--2041 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [112] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2106--2107 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2107--2108 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [113] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2121--2122 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2125--2126 [][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2132--2133 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [114] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2207--2208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2209--2210 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2210--2211 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [115] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2269--2270 [][][][][][][][][][][][][][][][][][][][][][][][][][] [116] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2281--2282 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2304--2306 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2309--2310 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2310--2313 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [117] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2379--2381 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [118] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2411--2412 [][][][][][][][][][][][][][][][][][][][][][] [119] [120] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2542--2543 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [121] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2544--2545 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2549--2550 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2571--2572 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2596--2598 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [122] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2612--2614 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2643--2645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [123] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2668--2669 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2669--2670 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2677--2679 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [124] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2737--2738 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2738--2739 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2739--2740 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2750--2751 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [125] Overfull \hbox (7.07013pt too wide) in paragraph at lines 2768--2769 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2777--2778 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2805--2806 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2806--2807 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [126] Overfull \hbox (19.07025pt too wide) in paragraph at lines 2820--2821 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 2860--2862 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [127] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2872--2873 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [128] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2974--2975 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [129] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2976--2977 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2977--2978 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2992--2993 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 2996--2998 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3018--3019 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 3028--3030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [130] [131] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3095--3096 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3096--3097 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3100--3101 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3122--3124 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [132] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3141--3142 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3150--3151 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3154--3155 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3166--3167 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3171--3172 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3172--3173 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3176--3177 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3177--3178 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [133] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3207--3208 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3210--3211 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 3223--3224 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3224--3225 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3225--3226 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3244--3246 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [134] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3247--3249 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3256--3257 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3257--3258 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3258--3259 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3268--3269 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [135] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3335--3336 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3349--3350 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [136] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3363--3364 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3378--3379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3384--3386 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3387--3388 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3400--3401 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3401--3402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [137] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3430--3431 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3431--3432 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3434--3435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3444--3445 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] [138] [139] Overfull \hbox (85.07092pt too wide) in paragraph at lines 3523--3525 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3529--3530 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [140] Overfull \hbox (37.07043pt too wide) in paragraph at lines 3587--3588 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 3595--3596 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3603--3604 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [141] Overfull \hbox (319.0733pt too wide) in paragraph at lines 3656--3657 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [142] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3682--3683 [][][][][][][][][][][][][][][][][][][][][][][][][][][] [143] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3748--3749 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3752--3753 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3756--3757 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [144] [145] Overfull \hbox (1.07007pt too wide) in paragraph at lines 3867--3868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [146] Overfull \hbox (31.07037pt too wide) in paragraph at lines 3920--3921 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 3940--3941 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [147] Overfull \hbox (457.0747pt too wide) in paragraph at lines 3994--3995 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][ ][][][][][][][][][][][][][][][][][][][][] [148] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4021--4022 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4025--4026 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4029--4030 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [149] [150] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4135--4136 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (61.07068pt too wide) in paragraph at lines 4136--4138 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (49.07056pt too wide) in paragraph at lines 4142--4143 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (79.07086pt too wide) in paragraph at lines 4146--4147 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [151] Overfull \hbox (55.07062pt too wide) in paragraph at lines 4208--4209 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [152] Overfull \hbox (91.07098pt too wide) in paragraph at lines 4219--4221 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4231--4232 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4232--4233 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4239--4240 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4243--4244 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [153] [154] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4364--4365 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4368--4369 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4372--4373 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4373--4374 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4376--4377 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4377--4379 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4379--4380 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [155] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4388--4389 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4400--4401 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4401--4402 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4402--4403 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4406--4407 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4410--4411 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4411--4412 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4413--4414 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4415--4416 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4418--4419 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4419--4420 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4424--4425 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4428--4429 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4433--4434 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [156] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4434--4435 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4435--4437 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4439--4440 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4440--4441 [][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4441--4442 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4442--4443 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4445--4446 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4447--4448 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4468--4470 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [157] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4504--4506 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4523--4524 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4524--4526 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [158] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4546--4548 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4588--4589 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [159] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4623--4624 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4624--4625 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4644--4645 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4645--4646 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [160] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4661--4662 [][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4691--4692 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [161] [162] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4789--4790 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4790--4792 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [163] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4846--4848 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4855--4856 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4856--4857 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 4858--4859 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 4859--4860 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [164] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4864--4865 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 4867--4868 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (25.07031pt too wide) in paragraph at lines 4868--4869 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [165] [166] Overfull \hbox (1.07007pt too wide) in paragraph at lines 4994--4995 [][][][][][][][][][][][][][][][][][][][][][][][][][] [167] [168] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5084--5085 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [169] [170] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5190--5192 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5192--5193 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5217--5218 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5218--5219 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5235--5236 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [171] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5259--5260 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5269--5270 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (19.07025pt too wide) in paragraph at lines 5276--5277 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5281--5283 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5284--5286 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5288--5289 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5293--5294 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [172] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5298--5299 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5299--5301 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5316--5317 [][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (7.07013pt too wide) in paragraph at lines 5324--5325 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5325--5326 [][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (13.07019pt too wide) in paragraph at lines 5329--5330 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5336--5337 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5337--5339 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [173] [174] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5435--5436 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][][] Overfull \hbox (1.07007pt too wide) in paragraph at lines 5457--5458 [][][][][][][][][][][][][][][][][][][][][][][][][][][][][] [175] [176]) [177] (./CHAPTER_Appnotes.tex Appendix D. [178] [179 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [180 <./APPNOTE_010_Verilog_to_ BLIF.pdf>] [181 <./APPNOTE_010_Verilog_to_BLIF.pdf>] [182 <./APPNOTE_011_Design _Investigation.pdf>] [183 <./APPNOTE_011_Design_Investigation.pdf>] [184 <./APP NOTE_011_Design_Investigation.pdf>] [185 <./APPNOTE_011_Design_Investigation.pd f>] [186 <./APPNOTE_011_Design_Investigation.pdf>] [187 <./APPNOTE_011_Design_I nvestigation.pdf>] [188 <./APPNOTE_011_Design_Investigation.pdf>] [189 <./APPNO TE_011_Design_Investigation.pdf>] [190 <./APPNOTE_011_Design_Investigation.pdf> ] [191 <./APPNOTE_011_Design_Investigation.pdf>] [192 <./APPNOTE_012_Verilog_to _BTOR.pdf>] [193 <./APPNOTE_012_Verilog_to_BTOR.pdf>] [194 <./APPNOTE_012_Veril og_to_BTOR.pdf>] [195 <./APPNOTE_012_Verilog_to_BTOR.pdf>]) (./manual.bbl [196]) (./weblink.bbl [197] Underfull \hbox (badness 10000) in paragraph at lines 39--39 []\T1/LinuxLibertineT-TLF/m/n/10 Synopsys For-mal-ity Equiv-a-lence Check-ing. [][]$\T1/LinuxLibertineMonoT-TLF/regular/n/10 http : / / www . synopsys . com / Tools / ) [198] (./manual.aux (./CHAPTER_Intro.aux) (./CHAPTER_Basics.aux) (./CHAPTER_Approach.aux) (./CHAPTER_Overview.aux) (./CHAPTER_CellLib.aux) (./CHAPTER_Prog.aux) (./CHAPTER_Verilog.aux) (./CHAPTER_Optimize.aux) (./CHAPTER_Techmap.aux) (./CHAPTER_Auxlibs.aux) (./CHAPTER_Auxprogs.aux) (./CHAPTER_Appnotes.aux)) LaTeX Warning: There were undefined references. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/libertine/lbtn_ncsllp.enc}{/usr/share/texlive/texmf-dist/fo nts/enc/dvips/lm/lm-mathit.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/l m/lm-rm.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_naooy c.enc}{/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_dhi6qf.enc} {/usr/share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_7grukw.enc}{/usr/ share/texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_76gpa5.enc}{/usr/share/ texlive/texmf-dist/fonts/enc/dvips/libertine/lbtn_nh77jq.enc} Output written on manual.pdf (198 pages, 1452461 bytes). Transcript written on manual.log. + md5sum APPNOTE_010_Verilog_to_BLIF.aux APPNOTE_011_Design_Investigation.aux APPNOTE_012_Verilog_to_BTOR.aux CHAPTER_Appnotes.aux CHAPTER_Approach.aux CHAPTER_Auxlibs.aux CHAPTER_Auxprogs.aux CHAPTER_Basics.aux CHAPTER_CellLib.aux CHAPTER_Intro.aux CHAPTER_Optimize.aux CHAPTER_Overview.aux CHAPTER_Prog.aux CHAPTER_Techmap.aux CHAPTER_Verilog.aux PRESENTATION_ExAdv.aux PRESENTATION_ExOth.aux PRESENTATION_ExSyn.aux PRESENTATION_Intro.aux PRESENTATION_Prog.aux manual.aux presentation.aux weblink.aux manual.bbl weblink.bbl manual.blg weblink.blg + cmp autoloop.old autoloop.new + rm -f autoloop.old + rm -f autoloop.new ++ stat -c %y debian/man/yosys-smtbmc.txt ++ awk '{ print $1 }' + txt2man -d 2019-10-18 -t YOSYS-SMTBMC debian/man/yosys-smtbmc.txt gawk: cmd. line:58: warning: regexp escape sequence `\o' is not a known regexp operator gawk: cmd. line:116: warning: regexp escape sequence `\,' is not a known regexp operator gawk: cmd. line:116: warning: regexp escape sequence `\;' is not a known regexp operator + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.rb28Zr + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386 '!=' / ']' + rm -rf /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386 ++ dirname /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386 + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386 + cd yosys-yosys-0.9 + /usr/bin/make install DESTDIR=/builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386 'INSTALL=/usr/bin/install -p' PREFIX=/usr ABCEXTERNAL=/usr/bin/abc STRIP=/bin/true [Makefile.conf] CONFIG := gcc mkdir -p /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin cp yosys yosys-config yosys-filterlib yosys-smtbmc /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin /bin/true -S /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin/yosys /bin/true /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin/yosys-filterlib mkdir -p /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/yosys cp -r share/. /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/yosys/. + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/include + mv /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/yosys/include /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/include/yosys + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/man/man1 + install -m 0644 yosys-smtbmc.1 debian/yosys.1 debian/yosys-config.1 debian/yosys-filterlib.1 /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/man/man1 + install -d -m0755 /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/doc/yosys + install -m 0644 manual/APPNOTE_010_Verilog_to_BLIF.pdf manual/APPNOTE_011_Design_Investigation.pdf manual/APPNOTE_012_Verilog_to_BTOR.pdf manual/manual.pdf manual/presentation.pdf /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/doc/yosys + /usr/lib/rpm/find-debuginfo.sh -j6 --strict-build-id -m -i --build-id-seed 0.9-4.fc33 --unique-debug-suffix -0.9-4.fc33.i386 --unique-debug-src-base yosys-0.9-4.fc33.i386 --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/yosys-yosys-0.9 explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin/yosys explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin/yosys-filterlib extracting debug info from /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin/yosys-filterlib extracting debug info from /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/bin/yosys original debug info size: 175260kB, size after compression: 141384kB /usr/lib/rpm/sepdebugcrcfix: Updated 2 CRC32s, 0 CRC32s did match. 8677 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile /usr/bin/python3 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs mangling shebang in /usr/bin/yosys-config from /bin/bash to #!/usr/bin/bash *** WARNING: ./usr/share/yosys/achronix/speedster22i/cells_sim.v is executable but has no shebang, removing executable bit *** WARNING: ./usr/share/yosys/achronix/speedster22i/cells_map.v is executable but has no shebang, removing executable bit *** WARNING: ./usr/src/debug/yosys-0.9-4.fc33.i386/techlibs/achronix/synth_achronix.cc is executable but has no shebang, removing executable bit Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.weCxFq + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + make test ABCEXTERNAL=/usr/bin/abc SEED=314159265359 [Makefile.conf] CONFIG := gcc cd tests/simple && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/simple' + gcc -Wall -o /builddir/build/BUILD/yosys-yosys-0.9/tests/tools/cmp_tbdata /builddir/build/BUILD/yosys-yosys-0.9/tests/tools/cmp_tbdata.c Test: arrays02 -> ok Test: defvalue -> ok Test: aes_kexp128 -> ok Test: always01 -> ok Test: always02 -> ok Test: always03 -> ok Test: arraycells -> ok Test: arrays01 -> ok Test: attrib01_module -> ok Test: attrib02_port_decl -> ok Test: attrib03_parameter -> ok Test: attrib04_net_var -> ok Test: attrib06_operator_suffix -> ok Test: attrib08_mod_inst -> ok Test: attrib09_case -> ok Test: carryadd -> ok Test: constmuldivmod -> ok Test: constpower -> ok Test: dff_different_styles -> ok Test: dff_init -> ok Test: fiedler-cooley -> ok Test: forgen01 -> ok Test: forgen02 -> ok Test: forloops -> ok Test: fsm -> ok Test: generate -> ok Test: graphtest -> ok Test: hierarchy -> ok Test: hierdefparam -> ok Test: i2c_master_tests -> ok Test: implicit_ports -> ok Test: localparam_attr -> ok Test: loops -> ok Test: macros -> ok Test: mem2reg -> ok Test: mem_arst -> ok Test: memory -> ok Test: multiplier -> ok Test: muxtree -> ok Test: omsp_dbg_uart -> ok Test: operators -> ok Test: param_attr -> ok Test: paramods -> ok Test: partsel -> ok Test: peepopt -> ok Test: process -> ok Test: realexpr -> ok Test: repwhile -> ok Test: retime -> ok Test: rotate -> ok Test: scopes -> ok Test: signedexpr -> ok Test: sincos -> ok Test: specify -> ok Test: subbytes -> ok Test: task_func -> ok Test: undef_eqx_nex -> ok Test: usb_phy_tests -> ok Test: values -> ok Test: vloghammer -> ok Test: wandwor -> ok Test: wreduce -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/simple' cd tests/hana && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/hana' Test: test_intermout -> ok Test: test_parse2synthtrans -> ok Test: test_parser -> ok Test: test_simulation_always -> ok Test: test_simulation_and -> ok Test: test_simulation_buffer -> ok Test: test_simulation_decoder -> ok Test: test_simulation_inc -> ok Test: test_simulation_mux -> ok Test: test_simulation_nand -> ok Test: test_simulation_nor -> ok Test: test_simulation_or -> ok Test: test_simulation_seq -> ok Test: test_simulation_shifter -> ok Test: test_simulation_sop -> ok Test: test_simulation_techmap -> ok Test: test_simulation_techmap_tech -> ok Test: test_simulation_vlib -> ok Test: test_simulation_xnor -> ok Test: test_simulation_xor -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/hana' cd tests/asicworld && bash run-test.sh "-S 314159265359" make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/asicworld' Test: code_hdl_models_GrayCounter -> ok Test: code_hdl_models_arbiter -> ok Test: code_hdl_models_cam -> ok Test: code_hdl_models_clk_div -> ok Test: code_hdl_models_clk_div_45 -> ok Test: code_hdl_models_d_ff_gates -> ok Test: code_hdl_models_d_latch_gates -> ok Test: code_hdl_models_decoder_2to4_gates -> ok Test: code_hdl_models_decoder_using_assign -> ok Test: code_hdl_models_decoder_using_case -> ok Test: code_hdl_models_dff_async_reset -> ok Test: code_hdl_models_dff_sync_reset -> ok Test: code_hdl_models_encoder_4to2_gates -> ok Test: code_hdl_models_encoder_using_case -> ok Test: code_hdl_models_encoder_using_if -> ok Test: code_hdl_models_full_adder_gates -> ok Test: code_hdl_models_full_subtracter_gates -> ok Test: code_hdl_models_gray_counter -> ok Test: code_hdl_models_half_adder_gates -> ok Test: code_hdl_models_lfsr -> ok Test: code_hdl_models_lfsr_updown -> ok Test: code_hdl_models_mux_2to1_gates -> ok Test: code_hdl_models_mux_using_assign -> ok Test: code_hdl_models_mux_using_case -> ok Test: code_hdl_models_mux_using_if -> ok Test: code_hdl_models_one_hot_cnt -> ok Test: code_hdl_models_parallel_crc -> ok Test: code_hdl_models_parity_using_assign -> ok Test: code_hdl_models_parity_using_bitwise -> ok Test: code_hdl_models_parity_using_function -> ok Test: code_hdl_models_pri_encoder_using_assign -> ok Test: code_hdl_models_rom_using_case -> ok Test: code_hdl_models_serial_crc -> ok Test: code_hdl_models_tff_async_reset -> ok Test: code_hdl_models_tff_sync_reset -> ok Test: code_hdl_models_uart -> ok Test: code_hdl_models_up_counter -> ok Test: code_hdl_models_up_counter_load -> ok Test: code_hdl_models_up_down_counter -> ok Test: code_specman_switch_fabric -> ok Test: code_tidbits_asyn_reset -> ok Test: code_tidbits_blocking -> ok Test: code_tidbits_fsm_using_always -> ok Test: code_tidbits_fsm_using_function -> ok Test: code_tidbits_fsm_using_single_always -> ok Test: code_tidbits_nonblocking -> ok Test: code_tidbits_reg_combo_example -> ok Test: code_tidbits_reg_seq_example -> ok Test: code_tidbits_syn_reset -> ok Test: code_tidbits_wire_example -> ok Test: code_verilog_tutorial_addbit -> ok Test: code_verilog_tutorial_always_example -> ok Test: code_verilog_tutorial_bus_con -> ok Test: code_verilog_tutorial_comment -> ok Test: code_verilog_tutorial_counter -> ok Test: code_verilog_tutorial_d_ff -> ok Test: code_verilog_tutorial_decoder -> ok Test: code_verilog_tutorial_decoder_always -> ok Test: code_verilog_tutorial_escape_id -> ok Test: code_verilog_tutorial_explicit -> ok Test: code_verilog_tutorial_first_counter -> ok Test: code_verilog_tutorial_flip_flop -> ok Test: code_verilog_tutorial_fsm_full -> ok Test: code_verilog_tutorial_good_code -> ok Test: code_verilog_tutorial_if_else -> ok Test: code_verilog_tutorial_multiply -> ok Test: code_verilog_tutorial_mux_21 -> ok Test: code_verilog_tutorial_n_out_primitive -> ok Test: code_verilog_tutorial_parallel_if -> ok Test: code_verilog_tutorial_parity -> ok Test: code_verilog_tutorial_simple_function -> ok Test: code_verilog_tutorial_simple_if -> ok Test: code_verilog_tutorial_task_global -> ok Test: code_verilog_tutorial_tri_buf -> ok Test: code_verilog_tutorial_v2k_reg -> ok Test: code_verilog_tutorial_which_clock -> ok make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/asicworld' # +cd tests/realmath && bash run-test.sh "-S 314159265359" cd tests/share && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. [0][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][18][19][20][21][22][23][24][25][26][27][28][29][30][31][32][33][34][35][36][37][38][39][40][41][42][43][44][45][46][47][48][49][50][51][52][53][54][55][56][57][58][59][60][61][62][63][64][65][66][67][68][69][70][71][72][73][74][75][76][77][78][79][80][81][82][83][84][85][86][87][88][89][90][91][92][93][94][95][96][97][98][99] cd tests/fsm && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/fsm' [0]K[1]K[2]K[3]K[4]K[5]K[6]T[7]K[8]K[9]K[10]K[11]K[12]K[13]K[14]K[15]K[16]K[17]K[18]K[19]K[20]K[21]K[22]K[23]K[24]K[25]K[26]K[27]K[28]K[29]K[30]K[31]K[32]K[33]K[34]K[35]K[36]K[37]K[38]K[39]K[40]K[41]K[42]K[43]K[44]K[45]T[46]K[47]K[48]K[49]K[50]K[51]K[52]K[53]K[54]K[55]K[56]K[57]K[58]K[59]K[60]K[61]K[62]K[63]K[64]K[65]K[66]K[67]K[68]K[69]K[70]K[71]K[72]K[73]K[74]K[75]K[76]T[77]K[78]K[79]K[80]K[81]K[82]K[83]K[84]K[85]K[86]K[87]K[88]K[89]K[90]Warning: Regarding the user-specified fsm_encoding attribute on gate.state: Users of state reg look like FSM recoding might result in larger circuit. Doesn't look like a proper FSM. Possible simulation-synthesis mismatch! K[91]K[92]K[93]K[94]K[95]K[96]K[97]K[98]K[99]K make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/fsm' cd tests/techmap && bash run-test.sh Running mem_simple_4x1_runtest.sh.. cd tests/memories && bash run-test.sh "-A /usr/bin/abc" "-S 314159265359" Test: amber23_sram_byte_en -> ok Test: firrtl_938 -> ok Test: implicit_en -> ok Test: issue00335 -> ok Test: issue00710 -> ok Test: no_implicit_en -> ok Test: read_two_mux -> ok Test: shared_ports -> ok Test: simple_sram_byte_en -> ok Testing expectations for amber23_sram_byte_en.v .. ok. Testing expectations for implicit_en.v .. ok. Testing expectations for issue00335.v .. ok. Testing expectations for issue00710.v .. ok. Testing expectations for no_implicit_en.v .. ok. Testing expectations for read_two_mux.v .. ok. Testing expectations for shared_ports.v .. ok. Testing expectations for simple_sram_byte_en.v .. ok. cd tests/bram && bash run-test.sh "-S 314159265359" generating tests.. PRNG seed: 314159265359 running tests.. make[1]: Entering directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/bram' Passed memory_bram test 00_01. Passed memory_bram test 00_02. Passed memory_bram test 00_03. Passed memory_bram test 00_04. Passed memory_bram test 01_00. Passed memory_bram test 01_02. Passed memory_bram test 01_03. Passed memory_bram test 01_04. Passed memory_bram test 02_00. Passed memory_bram test 02_01. Passed memory_bram test 02_03. Passed memory_bram test 02_04. Passed memory_bram test 03_00. Passed memory_bram test 03_01. Passed memory_bram test 03_02. Passed memory_bram test 03_04. Passed memory_bram test 04_00. Passed memory_bram test 04_01. Passed memory_bram test 04_02. Passed memory_bram test 04_03. make[1]: Leaving directory '/builddir/build/BUILD/yosys-yosys-0.9/tests/bram' cd tests/various && bash run-test.sh Running attrib05_port_conn.ys.. Running attrib07_func_call.ys.. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:17. Warning: wire '\out' is assigned in a block at attrib07_func_call.v:18. Running constmsk_test.ys.. constmsk_testmap.v:45: Warning: Range [1:0] select out of bounds on signal `\tmp': Setting 1 MSB bits to undef. Running elab_sys_tasks.ys.. elab_sys_tasks.sv:8: Warning: X is 1. elab_sys_tasks.sv:22: Warning: Running muxcover.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/simcells.v:437) Running muxpack.ys.. Running opt_rmdff.ys.. Warning: Wire opt_rmdff_test.\Q [22] is used but has no driver. Running pmux2shiftx.ys.. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:39. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:40. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:41. Warning: wire '\o' is assigned in a block at pmux2shiftx.v:42. Running reg_wire_error.ys.. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:26. Warning: wire '\o_reg' is assigned in a block at reg_wire_error.sv:29. Warning: reg '\l_reg' is assigned in a continuous assignment at reg_wire_error.sv:35. Warning: wire '\mw2' is assigned in a block at reg_wire_error.sv:62. Warning: wire '\mw3' is assigned in a block at reg_wire_error.sv:69. Warning: Replacing memory \ml3 with list of registers. See reg_wire_error.sv:70 Warning: Replacing memory \mr3 with list of registers. See reg_wire_error.sv:68 Warning: Replacing memory \ml2 with list of registers. See reg_wire_error.sv:63 Warning: Replacing memory \mr2 with list of registers. See reg_wire_error.sv:61 Running shregmap.ys.. /* Generated by Yosys 0.9 (git sha1 UNKNOWN, gcc 10.0.1 -O2 -fexceptions -fstack-protector-strong -m32 -march=i686 -mtune=generic -msse2 -mfpmath=sse -mstackrealign -fasynchronous-unwind-tables -fstack-clash-protection -fcf-protection -fPIC -Os) */ (* top = 1 *) (* src = "shregmap.v:24" *) module shregmap_variable_test(i, clk, l1, l2, q); wire \$auto$shregmap.cc:228:fixup$96 ; wire \$auto$shregmap.cc:228:fixup$98 ; wire \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 ; (* src = "shregmap.v:24" *) input clk; (* init = 1'h0 *) (* src = "shregmap.v:25" *) wire head; (* src = "shregmap.v:24" *) input i; (* src = "shregmap.v:24" *) input [1:0] l1; (* src = "shregmap.v:24" *) input [1:0] l2; (* src = "shregmap.v:24" *) output [1:0] q; (* src = "shregmap.v:26" *) wire [3:0] shift1; (* src = "shregmap.v:27" *) wire [3:0] shift2; (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$95 ( .C(clk), .D(head), .L(l1), .Q(q[0]) ); (* src = "shregmap.v:29" *) \$__XILINX_SHREG_ #( .CLKPOL(32'd1), .DEPTH(32'd4), .ENPOL(32'd2), .INIT(4'h0) ) \$auto$shregmap.cc:211:fixup$97 ( .C(clk), .D(head), .L(l2), .Q(q[1]) ); (* src = "shregmap.v:29" *) \$_DFF_P_ \$auto$simplemap.cc:420:simplemap_dff$93 ( .C(clk), .D(i), .Q(head) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$8 ( .A(shift1), .B(l1), .Y(\$auto$shregmap.cc:228:fixup$96 ) ); (* src = "shregmap.v:35" *) \$shiftx #( .A_SIGNED(32'd0), .A_WIDTH(32'd4), .B_SIGNED(32'd0), .B_WIDTH(32'd2), .Y_WIDTH(32'd1) ) \$shiftx$shregmap.v:35$9 ( .A(shift2), .B(l2), .Y(\$auto$shregmap.cc:228:fixup$98 ) ); assign \$auto$shregmap.cc:307:make_sigbit_chain_next_prev$94 = head; endmodule Running signext.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (< ok Test: svinterface_at_top -> ok cd tests/opt && bash run-test.sh Running opt_expr_cmp.ys.. Running opt_ff.ys.. Running opt_lut.ys.. Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:31) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:76) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:77) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1193) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1194) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1256) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1257) Warning: Yosys has only limited support for tri-state logic at the moment. (/builddir/build/BUILD/yosys-yosys-0.9/share/ice40/cells_sim.v:1258) Running opt_lut_elim.ys.. Running opt_lut_port.ys.. cd tests/aiger && bash run-test.sh "-A /usr/bin/abc" Checking and_.aag. Checking buffer.aag. Checking cnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aag. Checking empty.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aag. Checking inverter.aag. Checking notcnt1.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aag. Checking or_.aag. Checking toggle-re.aag. Checking toggle.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aag. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking and_.aig. Checking buffer.aig. Checking cnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking cnt1e.aig. Checking empty.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Warning: The current network has no primary outputs. Some commands may not work correctly. Checking false.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking halfadder.aig. Checking inverter.aig. Checking notcnt1.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking notcnt1e.aig. Checking or_.aig. Checking toggle-re.aig. Checking toggle.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. Checking true.aig. Warning: The new network has no primary inputs. It is recommended to add a dummy PI to make sure all commands work correctly. cd tests/arch && bash run-test.sh Running syntax check on arch sim models Test ../../techlibs/achronix/speedster22i/cells_sim.v -> ok Test ../../techlibs/anlogic/cells_sim.v -> ok Test ../../techlibs/coolrunner2/cells_sim.v -> ok Test ../../techlibs/ecp5/cells_sim.v -> ok Test ../../techlibs/gowin/cells_sim.v -> ok Test ../../techlibs/greenpak4/cells_sim.v -> ok Test ../../techlibs/ice40/cells_sim.v -> ok Test ../../techlibs/intel/a10gx/cells_sim.v -> ok Test ../../techlibs/intel/cyclone10/cells_sim.v -> ok Test ../../techlibs/intel/cycloneiv/cells_sim.v -> ok Test ../../techlibs/intel/cycloneive/cells_sim.v -> ok Test ../../techlibs/intel/cyclonev/cells_sim.v -> ok Test ../../techlibs/intel/max10/cells_sim.v -> ok Test ../../techlibs/sf2/cells_sim.v -> ok Test ../../techlibs/xilinx/cells_sim.v -> ok Test ../../techlibs/common/simcells.v -> ok Test ../../techlibs/common/simlib.v -> ok Passed "make test". + RPM_EC=0 ++ jobs -p + exit 0 Processing files: yosys-0.9-4.fc33.i686 Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.1epsPs + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + DOCDIR=/builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/doc/yosys + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/doc/yosys + cp -pr README.md /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/doc/yosys + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.H3U8kp + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + LICENSEDIR=/builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/licenses/yosys + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/licenses/yosys + cp -pr COPYING /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386/usr/share/licenses/yosys + RPM_EC=0 ++ jobs -p + exit 0 Provides: yosys = 0.9-4.fc33 yosys(x86-32) = 0.9-4.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libc.so.6 libc.so.6(GLIBC_2.0) libc.so.6(GLIBC_2.1) libc.so.6(GLIBC_2.1.1) libc.so.6(GLIBC_2.1.3) libc.so.6(GLIBC_2.11) libc.so.6(GLIBC_2.2) libc.so.6(GLIBC_2.27) libc.so.6(GLIBC_2.3.4) libc.so.6(GLIBC_2.4) libc.so.6(GLIBC_2.7) libc.so.6(GLIBC_2.8) libdl.so.2 libdl.so.2(GLIBC_2.0) libdl.so.2(GLIBC_2.1) libffi.so.6 libgcc_s.so.1 libgcc_s.so.1(GCC_3.0) libm.so.6 libm.so.6(GLIBC_2.0) libm.so.6(GLIBC_2.1) libm.so.6(GLIBC_2.29) libreadline.so.8 libstdc++.so.6 libstdc++.so.6(CXXABI_1.3) libstdc++.so.6(CXXABI_1.3.8) libstdc++.so.6(GLIBCXX_3.4) libstdc++.so.6(GLIBCXX_3.4.11) libstdc++.so.6(GLIBCXX_3.4.14) libstdc++.so.6(GLIBCXX_3.4.15) libstdc++.so.6(GLIBCXX_3.4.20) libstdc++.so.6(GLIBCXX_3.4.21) libstdc++.so.6(GLIBCXX_3.4.26) libstdc++.so.6(GLIBCXX_3.4.5) libstdc++.so.6(GLIBCXX_3.4.9) libtcl8.6.so rtld(GNU_HASH) Processing files: yosys-doc-0.9-4.fc33.i686 Provides: yosys-doc = 0.9-4.fc33 yosys-doc(x86-32) = 0.9-4.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-share-0.9-4.fc33.noarch Provides: yosys-share = 0.9-4.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-devel-0.9-4.fc33.i686 Provides: yosys-devel = 0.9-4.fc33 yosys-devel(x86-32) = 0.9-4.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/bash Processing files: yosys-debugsource-0.9-4.fc33.i686 Provides: yosys-debugsource = 0.9-4.fc33 yosys-debugsource(x86-32) = 0.9-4.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: yosys-debuginfo-0.9-4.fc33.i686 Provides: debuginfo(build-id) = 7e7411d8d40d6f633101a7e679ee6aadfdf2370a debuginfo(build-id) = c3aeb1cc015754e4290bfcb5cadf8edd968b233d yosys-debuginfo = 0.9-4.fc33 yosys-debuginfo(x86-32) = 0.9-4.fc33 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: yosys-debugsource(x86-32) = 0.9-4.fc33 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386 Wrote: /builddir/build/RPMS/yosys-devel-0.9-4.fc33.i686.rpm Wrote: /builddir/build/RPMS/yosys-share-0.9-4.fc33.noarch.rpm Wrote: /builddir/build/RPMS/yosys-doc-0.9-4.fc33.i686.rpm Wrote: /builddir/build/RPMS/yosys-debugsource-0.9-4.fc33.i686.rpm Wrote: /builddir/build/RPMS/yosys-0.9-4.fc33.i686.rpm Wrote: /builddir/build/RPMS/yosys-debuginfo-0.9-4.fc33.i686.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.h4WxLq + umask 022 + cd /builddir/build/BUILD + cd yosys-yosys-0.9 + /usr/bin/rm -rf /builddir/build/BUILDROOT/yosys-0.9-4.fc33.i386 + RPM_EC=0 ++ jobs -p + exit 0 Child return code was: 0