Mock Version: 2.16 Mock Version: 2.16 Mock Version: 2.16 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target ppc64le --nodeps /builddir/build/SPECS/trellis.spec'], chrootPath='/var/lib/mock/f34-build-33314059-4483113/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target ppc64le --nodeps /builddir/build/SPECS/trellis.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1645401600 Wrote: /builddir/build/SRPMS/trellis-1.2.1-1.20220222git3ae21cf.fc34.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target ppc64le --nodeps /builddir/build/SPECS/trellis.spec'], chrootPath='/var/lib/mock/f34-build-33314059-4483113/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target ppc64le --nodeps /builddir/build/SPECS/trellis.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'} and shell False Building target platforms: ppc64le Building for target ppc64le setting SOURCE_DATE_EPOCH=1645401600 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.fsIHps + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + /usr/bin/gzip -dc /builddir/build/SOURCES/prjtrellis-3ae21cf.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + /usr/bin/gzip -dc /builddir/build/SOURCES/prjtrellis-db-fdf4bf2.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + rm -rf database + mv prjtrellis-db-fdf4bf275a7402654bc643db537173e2fbc86103 database + sed -i '/CMAKE_CXX_FLAGS/s/-O3/-O3 -fPIC -g1/' libtrellis/CMakeLists.txt + sed -i 's/"lib64"/"lib${LIB_SUFFIX}"/' libtrellis/CMakeLists.txt + find . -name '*.py' -exec sed -i 's|/usr/bin/env python3|/usr/bin/python3|' '{}' ';' + find . -name .gitignore -delete + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.8Hsonz + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + CFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + export CFLAGS + CXXFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection' + export CXXFLAGS + FFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FFLAGS + FCFLAGS='-O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -I/usr/lib64/gfortran/modules' + export FCFLAGS + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld ' + export LDFLAGS + LT_SYS_LIBRARY_PATH=/usr/lib64: + export LT_SYS_LIBRARY_PATH + CC=gcc + export CC + CXX=g++ + export CXX + /usr/bin/cmake -DCMAKE_C_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_CXX_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_Fortran_FLAGS_RELEASE:STRING=-DNDEBUG -DCMAKE_VERBOSE_MAKEFILE:BOOL=ON -DCMAKE_INSTALL_DO_STRIP:BOOL=OFF -DCMAKE_INSTALL_PREFIX:PATH=/usr -DINCLUDE_INSTALL_DIR:PATH=/usr/include -DLIB_INSTALL_DIR:PATH=/usr/lib64 -DSYSCONF_INSTALL_DIR:PATH=/etc -DSHARE_INSTALL_PREFIX:PATH=/usr/share -DLIB_SUFFIX=64 -DBUILD_SHARED_LIBS:BOOL=ON libtrellis -DCURRENT_GIT_VERSION=1.2.1-1.20220222git3ae21cf.fc34 -- The C compiler identification is GNU 11.2.1 -- The CXX compiler identification is GNU 11.2.1 -- Detecting C compiler ABI info -- Detecting C compiler ABI info - done -- Check for working C compiler: /usr/bin/gcc - skipped -- Detecting C compile features -- Detecting C compile features - done -- Detecting CXX compiler ABI info -- Detecting CXX compiler ABI info - done -- Check for working CXX compiler: /usr/bin/g++ - skipped -- Detecting CXX compile features -- Detecting CXX compile features - done -- Found PythonInterp: /usr/bin/python3 (found suitable version "3.9.10", minimum required is "3.5") -- Found PythonLibs: /usr/lib64/libpython3.9.so (found suitable version "3.9.10", minimum required is "3.5") -- Looking for pthread.h -- Looking for pthread.h - found -- Performing Test CMAKE_HAVE_LIBC_PTHREAD -- Performing Test CMAKE_HAVE_LIBC_PTHREAD - Failed -- Looking for pthread_create in pthreads -- Looking for pthread_create in pthreads - not found -- Looking for pthread_create in pthread -- Looking for pthread_create in pthread - found -- Found Threads: TRUE -- Found Boost: /usr/include (found version "1.75.0") found components: filesystem program_options system thread chrono date_time atomic -- Could NOT find Git (missing: GIT_EXECUTABLE) -- Configuring done -- Generating done CMake Warning: Manually-specified variables were not used by the project: CMAKE_CXX_FLAGS_RELEASE CMAKE_C_FLAGS_RELEASE CMAKE_Fortran_FLAGS_RELEASE CMAKE_INSTALL_DO_STRIP INCLUDE_INSTALL_DIR LIB_INSTALL_DIR LIB_SUFFIX SHARE_INSTALL_PREFIX SYSCONF_INSTALL_DIR -- Build files have been written to: /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + /usr/bin/cmake --build . -j8 --verbose /usr/bin/cmake -S/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis -B/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f --check-build-system CMakeFiles/Makefile.cmake 0 /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f//CMakeFiles/progress.marks /usr/bin/gmake -f CMakeFiles/Makefile2 all gmake[1]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' /usr/bin/gmake -f CMakeFiles/trellis.dir/build.make CMakeFiles/trellis.dir/depend /usr/bin/gmake -f CMakeFiles/pytrellis.dir/build.make CMakeFiles/pytrellis.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' cd /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles/pytrellis.dir/DependInfo.cmake --color= gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' cd /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles/trellis.dir/DependInfo.cmake --color= gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' /usr/bin/gmake -f CMakeFiles/pytrellis.dir/build.make CMakeFiles/pytrellis.dir/build /usr/bin/gmake -f CMakeFiles/trellis.dir/build.make CMakeFiles/trellis.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 4%] Building CXX object CMakeFiles/trellis.dir/src/Bels.cpp.o [ 4%] Building CXX object CMakeFiles/pytrellis.dir/src/Bels.cpp.o [ 6%] Building CXX object CMakeFiles/trellis.dir/src/BitDatabase.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/Bels.cpp.o -MF CMakeFiles/pytrellis.dir/src/Bels.cpp.o.d -o CMakeFiles/pytrellis.dir/src/Bels.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Bels.cpp /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/Bels.cpp.o -MF CMakeFiles/trellis.dir/src/Bels.cpp.o.d -o CMakeFiles/trellis.dir/src/Bels.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Bels.cpp /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/BitDatabase.cpp.o -MF CMakeFiles/trellis.dir/src/BitDatabase.cpp.o.d -o CMakeFiles/trellis.dir/src/BitDatabase.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/BitDatabase.cpp [ 8%] Building CXX object CMakeFiles/trellis.dir/src/Bitstream.cpp.o [ 11%] Building CXX object CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/Bitstream.cpp.o -MF CMakeFiles/trellis.dir/src/Bitstream.cpp.o.d -o CMakeFiles/trellis.dir/src/Bitstream.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Bitstream.cpp /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o -MF CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o.d -o CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/BitDatabase.cpp [ 13%] Building CXX object CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o -MF CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o.d -o CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Bitstream.cpp [ 15%] Building CXX object CMakeFiles/trellis.dir/src/CRAM.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/CRAM.cpp.o -MF CMakeFiles/trellis.dir/src/CRAM.cpp.o.d -o CMakeFiles/trellis.dir/src/CRAM.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/CRAM.cpp [ 17%] Building CXX object CMakeFiles/trellis.dir/src/Chip.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/Chip.cpp.o -MF CMakeFiles/trellis.dir/src/Chip.cpp.o.d -o CMakeFiles/trellis.dir/src/Chip.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Chip.cpp [ 20%] Building CXX object CMakeFiles/trellis.dir/src/ChipConfig.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/ChipConfig.cpp.o -MF CMakeFiles/trellis.dir/src/ChipConfig.cpp.o.d -o CMakeFiles/trellis.dir/src/ChipConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/ChipConfig.cpp In file included from /usr/include/c++/11/bits/shared_ptr.h:53, from /usr/include/c++/11/memory:77, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/Bitstream.hpp:5, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Bitstream.cpp:1: /usr/include/c++/11/bits/shared_ptr_base.h: In constructor 'Trellis::Chip::Chip(Trellis::Chip&&)': /usr/include/c++/11/bits/shared_ptr_base.h:733:32: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 733 | _Sp_counted_base<_Lp>* __tmp = __r._M_pi; | ^~~~~ In file included from /usr/include/c++/11/bits/shared_ptr.h:53, from /usr/include/c++/11/memory:77, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/Bitstream.hpp:5, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Bitstream.cpp:1: /usr/include/c++/11/bits/shared_ptr_base.h: In constructor 'Trellis::Chip::Chip(Trellis::Chip&&)': /usr/include/c++/11/bits/shared_ptr_base.h:733:32: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 733 | _Sp_counted_base<_Lp>* __tmp = __r._M_pi; | ^~~~~ /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Chip.cpp: In constructor 'Trellis::Chip::Chip(const Trellis::ChipInfo&)': /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Chip.cpp:20:1: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 20 | Chip::Chip(const Trellis::ChipInfo &info) : info(info), cram(info.num_frames, info.bits_per_frame) | ^~~~ [ 22%] Building CXX object CMakeFiles/trellis.dir/src/Database.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/Database.cpp.o -MF CMakeFiles/trellis.dir/src/Database.cpp.o.d -o CMakeFiles/trellis.dir/src/Database.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Database.cpp [ 24%] Building CXX object CMakeFiles/pytrellis.dir/src/CRAM.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/CRAM.cpp.o -MF CMakeFiles/pytrellis.dir/src/CRAM.cpp.o.d -o CMakeFiles/pytrellis.dir/src/CRAM.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/CRAM.cpp [ 26%] Building CXX object CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o -MF CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o.d -o CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/DedupChipdb.cpp [ 28%] Building CXX object CMakeFiles/trellis.dir/src/OptChipdb.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/OptChipdb.cpp.o -MF CMakeFiles/trellis.dir/src/OptChipdb.cpp.o.d -o CMakeFiles/trellis.dir/src/OptChipdb.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/OptChipdb.cpp [ 31%] Building CXX object CMakeFiles/pytrellis.dir/src/Chip.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/Chip.cpp.o -MF CMakeFiles/pytrellis.dir/src/Chip.cpp.o.d -o CMakeFiles/pytrellis.dir/src/Chip.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Chip.cpp [ 33%] Building CXX object CMakeFiles/trellis.dir/src/PyTrellis.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/PyTrellis.cpp.o -MF CMakeFiles/trellis.dir/src/PyTrellis.cpp.o.d -o CMakeFiles/trellis.dir/src/PyTrellis.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/PyTrellis.cpp In file included from /usr/include/boost/smart_ptr/detail/sp_thread_sleep.hpp:22, from /usr/include/boost/smart_ptr/detail/yield_k.hpp:23, from /usr/include/boost/smart_ptr/detail/spinlock_gcc_atomic.hpp:14, from /usr/include/boost/smart_ptr/detail/spinlock.hpp:42, from /usr/include/boost/smart_ptr/detail/spinlock_pool.hpp:25, from /usr/include/boost/smart_ptr/shared_ptr.hpp:29, from /usr/include/boost/shared_ptr.hpp:17, from /usr/include/boost/date_time/time_clock.hpp:17, from /usr/include/boost/thread/thread_time.hpp:9, from /usr/include/boost/thread/lock_types.hpp:18, from /usr/include/boost/thread/pthread/mutex.hpp:16, from /usr/include/boost/thread/mutex.hpp:16, from /usr/include/boost/thread/pthread/shared_mutex.hpp:14, from /usr/include/boost/thread/shared_mutex.hpp:28, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/BitDatabase.hpp:11, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Database.cpp:5: /usr/include/boost/bind.hpp:36:1: note: '#pragma message: The practice of declaring the Bind placeholders (_1, _2, ...) in the global namespace is deprecated. Please use + using namespace boost::placeholders, or define BOOST_BIND_GLOBAL_PLACEHOLDERS to retain the current behavior.' 36 | BOOST_PRAGMA_MESSAGE( | ^~~~~~~~~~~~~~~~~~~~ [ 35%] Building CXX object CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o -MF CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o.d -o CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/ChipConfig.cpp [ 37%] Building CXX object CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o -MF CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o.d -o CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/RoutingGraph.cpp [ 40%] Building CXX object CMakeFiles/pytrellis.dir/src/Database.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/Database.cpp.o -MF CMakeFiles/pytrellis.dir/src/Database.cpp.o.d -o CMakeFiles/pytrellis.dir/src/Database.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Database.cpp In file included from /usr/include/c++/11/vector:72, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/RoutingGraph.hpp:6, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/DedupChipdb.cpp:1: /usr/include/c++/11/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const Trellis::DDChipDb::BelData&}; _Tp = Trellis::DDChipDb::BelData; _Alloc = std::allocator]': /usr/include/c++/11/bits/vector.tcc:426:7: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/c++/11/vector:72, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/RoutingGraph.hpp:6, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/OptChipdb.cpp:1: /usr/include/c++/11/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const Trellis::DDChipDb::BelData&}; _Tp = Trellis::DDChipDb::BelData; _Alloc = std::allocator]': /usr/include/c++/11/bits/vector.tcc:426:7: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ [ 42%] Building CXX object CMakeFiles/trellis.dir/src/Tile.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/Tile.cpp.o -MF CMakeFiles/trellis.dir/src/Tile.cpp.o.d -o CMakeFiles/trellis.dir/src/Tile.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Tile.cpp In file included from /usr/include/c++/11/vector:72, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/Database.hpp:6, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Database.cpp:1: /usr/include/c++/11/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const Trellis::SpineSegment&}; _Tp = Trellis::SpineSegment; _Alloc = std::allocator]': /usr/include/c++/11/bits/vector.tcc:426:7: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ In file included from /usr/include/boost/smart_ptr/detail/sp_thread_sleep.hpp:22, from /usr/include/boost/smart_ptr/detail/yield_k.hpp:23, from /usr/include/boost/smart_ptr/detail/spinlock_gcc_atomic.hpp:14, from /usr/include/boost/smart_ptr/detail/spinlock.hpp:42, from /usr/include/boost/smart_ptr/detail/spinlock_pool.hpp:25, from /usr/include/boost/smart_ptr/shared_ptr.hpp:29, from /usr/include/boost/shared_ptr.hpp:17, from /usr/include/boost/date_time/time_clock.hpp:17, from /usr/include/boost/thread/thread_time.hpp:9, from /usr/include/boost/thread/lock_types.hpp:18, from /usr/include/boost/thread/pthread/mutex.hpp:16, from /usr/include/boost/thread/mutex.hpp:16, from /usr/include/boost/thread/pthread/shared_mutex.hpp:14, from /usr/include/boost/thread/shared_mutex.hpp:28, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/BitDatabase.hpp:11, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Database.cpp:5: /usr/include/boost/bind.hpp:36:1: note: '#pragma message: The practice of declaring the Bind placeholders (_1, _2, ...) in the global namespace is deprecated. Please use + using namespace boost::placeholders, or define BOOST_BIND_GLOBAL_PLACEHOLDERS to retain the current behavior.' 36 | BOOST_PRAGMA_MESSAGE( | ^~~~~~~~~~~~~~~~~~~~ [ 44%] Building CXX object CMakeFiles/trellis.dir/src/TileConfig.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/TileConfig.cpp.o -MF CMakeFiles/trellis.dir/src/TileConfig.cpp.o.d -o CMakeFiles/trellis.dir/src/TileConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/TileConfig.cpp [ 46%] Building CXX object CMakeFiles/trellis.dir/src/Util.cpp.o /usr/bin/g++ -Dtrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/trellis.dir/src/Util.cpp.o -MF CMakeFiles/trellis.dir/src/Util.cpp.o.d -o CMakeFiles/trellis.dir/src/Util.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Util.cpp [ 48%] Building CXX object CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o -MF CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o.d -o CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/DedupChipdb.cpp /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel_input(Trellis::RoutingBel&, Trellis::ident_t, int, int, Trellis::ident_t)': /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/RoutingGraph.cpp:278:6: note: the layout of aggregates containing vectors with 4-byte alignment has changed in GCC 5 278 | void RoutingGraph::add_bel_input(RoutingBel &bel, ident_t pin, int wire_x, int wire_y, ident_t wire_name) { | ^~~~~~~~~~~~ [ 51%] Building CXX object CMakeFiles/pytrellis.dir/src/OptChipdb.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/OptChipdb.cpp.o -MF CMakeFiles/pytrellis.dir/src/OptChipdb.cpp.o.d -o CMakeFiles/pytrellis.dir/src/OptChipdb.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/OptChipdb.cpp In file included from /usr/include/c++/11/vector:72, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/Database.hpp:6, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Database.cpp:1: /usr/include/c++/11/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const Trellis::SpineSegment&}; _Tp = Trellis::SpineSegment; _Alloc = std::allocator]': /usr/include/c++/11/bits/vector.tcc:426:7: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Tile.cpp: In constructor 'Trellis::Tile::Tile(Trellis::TileInfo, Trellis::Chip&)': /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Tile.cpp:74:1: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 74 | Tile::Tile(Trellis::TileInfo info, Trellis::Chip &parent) : info(info), cram(parent.cram.make_view(info.frame_offset, | ^~~~ [ 53%] Building CXX object CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o -MF CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o.d -o CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/PyTrellis.cpp In file included from /usr/include/c++/11/vector:72, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/RoutingGraph.hpp:6, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/DedupChipdb.cpp:1: /usr/include/c++/11/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const Trellis::DDChipDb::BelData&}; _Tp = Trellis::DDChipDb::BelData; _Alloc = std::allocator]': /usr/include/c++/11/bits/vector.tcc:426:7: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Chip.cpp: In constructor 'Trellis::Chip::Chip(const Trellis::ChipInfo&)': /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Chip.cpp:20:1: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 20 | Chip::Chip(const Trellis::ChipInfo &info) : info(info), cram(info.num_frames, info.bits_per_frame) | ^~~~ [ 55%] Building CXX object CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o -MF CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o.d -o CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/RoutingGraph.cpp [ 57%] Building CXX object CMakeFiles/pytrellis.dir/src/Tile.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/Tile.cpp.o -MF CMakeFiles/pytrellis.dir/src/Tile.cpp.o.d -o CMakeFiles/pytrellis.dir/src/Tile.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Tile.cpp In file included from /usr/include/c++/11/vector:72, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/RoutingGraph.hpp:6, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include/DedupChipdb.hpp:4, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/OptChipdb.cpp:1: /usr/include/c++/11/bits/vector.tcc: In member function 'void std::vector<_Tp, _Alloc>::_M_realloc_insert(std::vector<_Tp, _Alloc>::iterator, _Args&& ...) [with _Args = {const Trellis::DDChipDb::BelData&}; _Tp = Trellis::DDChipDb::BelData; _Alloc = std::allocator]': /usr/include/c++/11/bits/vector.tcc:426:7: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 426 | vector<_Tp, _Alloc>:: | ^~~~~~~~~~~~~~~~~~~ [ 60%] Building CXX object CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o -MF CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o.d -o CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/TileConfig.cpp [ 62%] Building CXX object CMakeFiles/pytrellis.dir/src/Util.cpp.o /usr/bin/g++ -DINCLUDE_PYTHON=1 -Dpytrellis_EXPORTS -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -fPIC -std=gnu++14 -MD -MT CMakeFiles/pytrellis.dir/src/Util.cpp.o -MF CMakeFiles/pytrellis.dir/src/Util.cpp.o.d -o CMakeFiles/pytrellis.dir/src/Util.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Util.cpp [ 64%] Linking CXX shared library libtrellis.so /usr/bin/cmake -E cmake_link_script CMakeFiles/trellis.dir/link.txt --verbose=1 /usr/bin/g++ -fPIC -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -shared -Wl,-soname,libtrellis.so -o libtrellis.so CMakeFiles/trellis.dir/src/Bels.cpp.o CMakeFiles/trellis.dir/src/BitDatabase.cpp.o CMakeFiles/trellis.dir/src/Bitstream.cpp.o CMakeFiles/trellis.dir/src/CRAM.cpp.o CMakeFiles/trellis.dir/src/Chip.cpp.o CMakeFiles/trellis.dir/src/ChipConfig.cpp.o CMakeFiles/trellis.dir/src/Database.cpp.o CMakeFiles/trellis.dir/src/DedupChipdb.cpp.o CMakeFiles/trellis.dir/src/OptChipdb.cpp.o CMakeFiles/trellis.dir/src/PyTrellis.cpp.o CMakeFiles/trellis.dir/src/RoutingGraph.cpp.o CMakeFiles/trellis.dir/src/Tile.cpp.o CMakeFiles/trellis.dir/src/TileConfig.cpp.o CMakeFiles/trellis.dir/src/Util.cpp.o /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so -lboost_thread -lpthread /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -lpthread /usr/lib64/libpython3.9.so /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so /usr/lib64/libpython3.9.so gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 64%] Built target trellis /usr/bin/gmake -f CMakeFiles/ecpmulti.dir/build.make CMakeFiles/ecpmulti.dir/depend /usr/bin/gmake -f CMakeFiles/ecppll.dir/build.make CMakeFiles/ecppll.dir/depend /usr/bin/gmake -f CMakeFiles/ecpunpack.dir/build.make CMakeFiles/ecpunpack.dir/depend /usr/bin/gmake -f CMakeFiles/ecppack.dir/build.make CMakeFiles/ecppack.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' cd /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles/ecpmulti.dir/DependInfo.cmake --color= gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' cd /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles/ecppll.dir/DependInfo.cmake --color= gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' cd /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles/ecpunpack.dir/DependInfo.cmake --color= gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' cd /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles/ecppack.dir/DependInfo.cmake --color= gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' /usr/bin/gmake -f CMakeFiles/ecpmulti.dir/build.make CMakeFiles/ecpmulti.dir/build /usr/bin/gmake -f CMakeFiles/ecppll.dir/build.make CMakeFiles/ecppll.dir/build /usr/bin/gmake -f CMakeFiles/ecpunpack.dir/build.make CMakeFiles/ecpunpack.dir/build gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' /usr/bin/gmake -f CMakeFiles/ecppack.dir/build.make CMakeFiles/ecppack.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 66%] Building CXX object CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o -MF CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o.d -o CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecpmulti.cpp [ 68%] Building CXX object CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o -MF CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o.d -o CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecpunpack.cpp [ 71%] Building CXX object CMakeFiles/ecppll.dir/tools/ecppll.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecppll.dir/tools/ecppll.cpp.o -MF CMakeFiles/ecppll.dir/tools/ecppll.cpp.o.d -o CMakeFiles/ecppll.dir/tools/ecppll.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecppll.cpp [ 73%] Building CXX object CMakeFiles/ecppack.dir/tools/ecppack.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecppack.dir/tools/ecppack.cpp.o -MF CMakeFiles/ecppack.dir/tools/ecppack.cpp.o.d -o CMakeFiles/ecppack.dir/tools/ecppack.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecppack.cpp [ 75%] Building CXX object CMakeFiles/ecpunpack.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecpunpack.dir/generated/version.cpp.o -MF CMakeFiles/ecpunpack.dir/generated/version.cpp.o.d -o CMakeFiles/ecpunpack.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/generated/version.cpp /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Tile.cpp: In constructor 'Trellis::Tile::Tile(Trellis::TileInfo, Trellis::Chip&)': /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/Tile.cpp:74:1: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 74 | Tile::Tile(Trellis::TileInfo info, Trellis::Chip &parent) : info(info), cram(parent.cram.make_view(info.frame_offset, | ^~~~ /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/RoutingGraph.cpp: In member function 'void Trellis::RoutingGraph::add_bel_input(Trellis::RoutingBel&, Trellis::ident_t, int, int, Trellis::ident_t)': /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/RoutingGraph.cpp:278:6: note: the layout of aggregates containing vectors with 4-byte alignment has changed in GCC 5 278 | void RoutingGraph::add_bel_input(RoutingBel &bel, ident_t pin, int wire_x, int wire_y, ident_t wire_name) { | ^~~~~~~~~~~~ [ 77%] Building CXX object CMakeFiles/ecppll.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecppll.dir/generated/version.cpp.o -MF CMakeFiles/ecppll.dir/generated/version.cpp.o.d -o CMakeFiles/ecppll.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/generated/version.cpp /usr/bin/gmake -f CMakeFiles/ecpbram.dir/build.make CMakeFiles/ecpbram.dir/depend gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' cd /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f && /usr/bin/cmake -E cmake_depends "Unix Makefiles" /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles/ecpbram.dir/DependInfo.cmake --color= gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' /usr/bin/gmake -f CMakeFiles/ecpbram.dir/build.make CMakeFiles/ecpbram.dir/build gmake[2]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 80%] Building CXX object CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o -MF CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o.d -o CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecpbram.cpp In file included from /usr/include/boost/program_options/value_semantic.hpp:421, from /usr/include/boost/program_options/options_description.hpp:13, from /usr/include/boost/program_options.hpp:15, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecppll.cpp:38: /usr/include/boost/program_options/detail/value_semantic.hpp: In function 'boost::program_options::typed_value* boost::program_options::value(T*) [with T = std::__cxx11::basic_string]': /usr/include/boost/program_options/detail/value_semantic.hpp:197:5: note: the layout of aggregates containing vectors with 4-byte alignment has changed in GCC 5 197 | value(T* v) | ^~~~~ In file included from /usr/include/boost/program_options/value_semantic.hpp:421, from /usr/include/boost/program_options/options_description.hpp:13, from /usr/include/boost/program_options.hpp:15, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecpunpack.cpp:10: /usr/include/boost/program_options/detail/value_semantic.hpp: In function 'boost::program_options::typed_value* boost::program_options::value(T*) [with T = std::__cxx11::basic_string]': /usr/include/boost/program_options/detail/value_semantic.hpp:197:5: note: the layout of aggregates containing vectors with 4-byte alignment has changed in GCC 5 197 | value(T* v) | ^~~~~ [ 82%] Building CXX object CMakeFiles/ecpbram.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecpbram.dir/generated/version.cpp.o -MF CMakeFiles/ecpbram.dir/generated/version.cpp.o.d -o CMakeFiles/ecpbram.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/generated/version.cpp [ 84%] Building CXX object CMakeFiles/ecpmulti.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecpmulti.dir/generated/version.cpp.o -MF CMakeFiles/ecpmulti.dir/generated/version.cpp.o.d -o CMakeFiles/ecpmulti.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/generated/version.cpp [ 86%] Building CXX object CMakeFiles/ecppack.dir/generated/version.cpp.o /usr/bin/g++ -DTRELLIS_PREFIX=\"/usr\" -DTRELLIS_PROGRAM_PREFIX=\"\" -DTRELLIS_RPATH_DATADIR=\"../share\" -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/include -I/usr/include/python3.9 -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include -I/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -std=gnu++14 -MD -MT CMakeFiles/ecppack.dir/generated/version.cpp.o -MF CMakeFiles/ecppack.dir/generated/version.cpp.o.d -o CMakeFiles/ecppack.dir/generated/version.cpp.o -c /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/generated/version.cpp [ 88%] Linking CXX executable ecpunpack /usr/bin/cmake -E cmake_link_script CMakeFiles/ecpunpack.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecpunpack.dir/tools/ecpunpack.cpp.o CMakeFiles/ecpunpack.dir/generated/version.cpp.o -o ecpunpack -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so -lboost_thread -lpthread /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 88%] Built target ecpunpack In file included from /usr/include/boost/program_options/value_semantic.hpp:421, from /usr/include/boost/program_options/options_description.hpp:13, from /usr/include/boost/program_options.hpp:15, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecpbram.cpp:38: /usr/include/boost/program_options/detail/value_semantic.hpp: In function 'boost::program_options::typed_value* boost::program_options::value(T*) [with T = std::__cxx11::basic_string]': /usr/include/boost/program_options/detail/value_semantic.hpp:197:5: note: the layout of aggregates containing vectors with 4-byte alignment has changed in GCC 5 197 | value(T* v) | ^~~~~ In file included from /usr/include/boost/program_options/value_semantic.hpp:421, from /usr/include/boost/program_options/options_description.hpp:13, from /usr/include/boost/program_options.hpp:15, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecpmulti.cpp:11: /usr/include/boost/program_options/detail/value_semantic.hpp: In function 'boost::program_options::typed_value* boost::program_options::value(T*) [with T = std::__cxx11::basic_string]': /usr/include/boost/program_options/detail/value_semantic.hpp:197:5: note: the layout of aggregates containing vectors with 4-byte alignment has changed in GCC 5 197 | value(T* v) | ^~~~~ [ 91%] Linking CXX executable ecppll /usr/bin/cmake -E cmake_link_script CMakeFiles/ecppll.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecppll.dir/tools/ecppll.cpp.o CMakeFiles/ecppll.dir/generated/version.cpp.o -o ecppll -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so -lboost_thread -lpthread /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 91%] Built target ecppll In file included from /usr/include/boost/program_options/value_semantic.hpp:421, from /usr/include/boost/program_options/options_description.hpp:13, from /usr/include/boost/program_options.hpp:15, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/tools/ecppack.cpp:11: /usr/include/boost/program_options/detail/value_semantic.hpp: In function 'boost::program_options::typed_value* boost::program_options::value(T*) [with T = std::__cxx11::basic_string]': /usr/include/boost/program_options/detail/value_semantic.hpp:197:5: note: the layout of aggregates containing vectors with 4-byte alignment has changed in GCC 5 197 | value(T* v) | ^~~~~ [ 93%] Linking CXX executable ecpmulti /usr/bin/cmake -E cmake_link_script CMakeFiles/ecpmulti.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecpmulti.dir/tools/ecpmulti.cpp.o CMakeFiles/ecpmulti.dir/generated/version.cpp.o -o ecpmulti -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so -lboost_thread -lpthread /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so [ 95%] Linking CXX executable ecpbram /usr/bin/cmake -E cmake_link_script CMakeFiles/ecpbram.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecpbram.dir/tools/ecpbram.cpp.o CMakeFiles/ecpbram.dir/generated/version.cpp.o -o ecpbram -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so -lboost_thread -lpthread /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 95%] Built target ecpmulti gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 95%] Built target ecpbram [ 97%] Linking CXX executable ecppack /usr/bin/cmake -E cmake_link_script CMakeFiles/ecppack.dir/link.txt --verbose=1 /usr/bin/g++ -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld CMakeFiles/ecppack.dir/tools/ecppack.cpp.o CMakeFiles/ecppack.dir/generated/version.cpp.o -o ecppack -Wl,-rpath,"\$ORIGIN/../lib64/trellis" libtrellis.so /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so -lboost_thread -lpthread /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so -ldl /usr/lib64/libpython3.9.so gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [ 97%] Built target ecppack In file included from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include/pybind11/detail/../cast.h:15, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include/pybind11/detail/../attr.h:13, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include/pybind11/detail/class.h:12, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include/pybind11/pybind11.h:13, from /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/src/PyTrellis.cpp:17: /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include/pybind11/detail/../detail/type_caster_base.h: In static member function 'static void* pybind11::detail::type_caster_base::make_move_constructor(const Trellis::RoutingBel*)::::_FUN(const void*)': /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/libtrellis/3rdparty/pybind11/include/pybind11/detail/../detail/type_caster_base.h:1051:16: note: the layout of aggregates containing vectors with 8-byte alignment has changed in GCC 5 1051 | return [](const void *arg) -> void * { | ^ [100%] Linking CXX shared module pytrellis.so /usr/bin/cmake -E cmake_link_script CMakeFiles/pytrellis.dir/link.txt --verbose=1 /usr/bin/g++ -fPIC -O2 -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -m64 -mcpu=power8 -mtune=power8 -fasynchronous-unwind-tables -fstack-clash-protection -Wall -pedantic -Wextra -O3 -fPIC -g1 -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -shared -o pytrellis.so CMakeFiles/pytrellis.dir/src/Bels.cpp.o CMakeFiles/pytrellis.dir/src/BitDatabase.cpp.o CMakeFiles/pytrellis.dir/src/Bitstream.cpp.o CMakeFiles/pytrellis.dir/src/CRAM.cpp.o CMakeFiles/pytrellis.dir/src/Chip.cpp.o CMakeFiles/pytrellis.dir/src/ChipConfig.cpp.o CMakeFiles/pytrellis.dir/src/Database.cpp.o CMakeFiles/pytrellis.dir/src/DedupChipdb.cpp.o CMakeFiles/pytrellis.dir/src/OptChipdb.cpp.o CMakeFiles/pytrellis.dir/src/PyTrellis.cpp.o CMakeFiles/pytrellis.dir/src/RoutingGraph.cpp.o CMakeFiles/pytrellis.dir/src/Tile.cpp.o CMakeFiles/pytrellis.dir/src/TileConfig.cpp.o CMakeFiles/pytrellis.dir/src/Util.cpp.o /usr/lib64/libboost_filesystem.so /usr/lib64/libboost_program_options.so /usr/lib64/libboost_system.so -lboost_thread -lpthread /usr/lib64/libboost_chrono.so /usr/lib64/libboost_date_time.so /usr/lib64/libboost_atomic.so /usr/lib64/libpython3.9.so gmake[2]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' [100%] Built target pytrellis gmake[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f' /usr/bin/cmake -E cmake_progress_start /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/CMakeFiles 0 + /usr/bin/make -O -j8 V=1 VERBOSE=1 -C docs latexpdf make: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs' Running Sphinx v3.4.3 making output directory... done {'code2docs': {}, 'docs2code': {}} building [mo]: targets for 0 po files that are out of date building [latex]: all documents updating environment: [new config] 11 added, 0 changed, 0 removed reading sources... [ 9%] architecture/bitstream_format reading sources... [ 18%] architecture/general_routing reading sources... [ 27%] architecture/global_routing reading sources... [ 36%] architecture/glossary reading sources... [ 45%] architecture/overview reading sources... [ 54%] architecture/tiles reading sources... [ 63%] db_dev_process/overview reading sources... [ 72%] dsp_support/dsp_support reading sources... [ 81%] index reading sources... [ 90%] libtrellis/overview reading sources... [100%] libtrellis/textconfig looking for now-outdated files... none found pickling environment... done checking consistency... done processing ProjectTrellis.tex... index architecture/overview architecture/tiles architecture/general_routing architecture/global_routing architecture/bitstream_format architecture/glossary db_dev_process/overview libtrellis/overview libtrellis/textconfig dsp_support/dsp_support resolving references... done writing... done copying TeX support files... copying TeX support files... done build succeeded, 14 warnings. The LaTeX files are in _build/latex. Run 'make' in that directory to run these through (pdf)latex (use `make latexpdf' here to do that automatically). make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/_build/latex' make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/_build/latex' make[1]: Entering directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/_build/latex' latexmk -pdf -dvi- -ps- 'ProjectTrellis.tex' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./ProjectTrellis.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/times.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty) (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (./sphinxmulticell.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (./footnotehyper-sphinx.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty) (./sphinxhighlight.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) Writing index file ProjectTrellis.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./ProjectTrellis.aux) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd ) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1phv.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texliv e/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] [1] [2] [1] [2] Chapter 1. LaTeX Warning: Hyper reference `architecture/tiles::doc' on page 3 undefined on input line 100. LaTeX Warning: Hyper reference `architecture/general_routing::doc' on page 3 un defined on input line 103. LaTeX Warning: Hyper reference `architecture/global_routing::doc' on page 3 und efined on input line 104. LaTeX Warning: Hyper reference `architecture/glossary:term-Quadrant' on page 3 undefined on input line 105. [3] [4] Chapter 2. (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1pcr.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ts1ptm.fd) [5] LaTeX Warning: Hyper reference `architecture/global_routing::doc' on page 6 und efined on input line 191. [6] [7] [8] Chapter 3. [9] [10] Chapter 4. [11] [12] [13] [14] Chapter 5. Underfull \hbox (badness 5548) in paragraph at lines 766--768 []|\T1/ptm/m/n/10 Ignored, used for Underfull \hbox (badness 10000) in paragraph at lines 798--801 []|\T1/ptm/m/n/10 This checks the Underfull \hbox (badness 10000) in paragraph at lines 798--801 \T1/ptm/m/n/10 ac-tual de-vice ID Underfull \hbox (badness 10000) in paragraph at lines 798--801 \T1/ptm/m/n/10 against the given Underfull \hbox (badness 10000) in paragraph at lines 839--840 []\T1/ptm/m/n/10 32 bit Ctl- Underfull \hbox (badness 10000) in paragraph at lines 843--846 \T1/ptm/m/n/10 of de-vice con-trol Underfull \hbox (badness 10000) in paragraph at lines 889--891 \T1/ptm/m/n/10 rity bit (pre-vents [15] [16] [17] [18] Chapter 6. LaTeX Warning: Hyper reference `architecture/glossary:term-FPGA' on page 19 und efined on input line 1207. LaTeX Warning: Hyper reference `architecture/glossary:term-FPGA' on page 19 und efined on input line 1211. LaTeX Warning: Hyper reference `architecture/glossary:term-Frame' on page 19 un defined on input line 1212. LaTeX Warning: Hyper reference `architecture/glossary:term-FPGA' on page 19 und efined on input line 1220. LaTeX Warning: Hyper reference `architecture/glossary:term-HDL' on page 19 unde fined on input line 1226. LaTeX Warning: Hyper reference `architecture/glossary:term-Specimen' on page 19 undefined on input line 1236. LaTeX Warning: Hyper reference `architecture/glossary:term-Database' on page 19 undefined on input line 1237. LaTeX Warning: Hyper reference `architecture/glossary:term-Tile' on page 19 und efined on input line 1240. LaTeX Warning: Hyper reference `architecture/glossary:term-Tile' on page 19 und efined on input line 1245. LaTeX Warning: Hyper reference `architecture/glossary:term-Tile' on page 19 und efined on input line 1260. LaTeX Warning: Hyper reference `architecture/glossary:term-FPGA' on page 19 und efined on input line 1263. LaTeX Warning: Hyper reference `architecture/glossary:term-Wire' on page 19 und efined on input line 1272. LaTeX Warning: Hyper reference `architecture/glossary:term-Tile' on page 19 und efined on input line 1273. [19] LaTeX Warning: Hyper reference `architecture/glossary:term-FPGA' on page 20 und efined on input line 1279. LaTeX Warning: Hyper reference `architecture/glossary:term-Wire' on page 20 und efined on input line 1287. LaTeX Warning: Hyper reference `architecture/glossary:term-Arc' on page 20 unde fined on input line 1287. LaTeX Warning: Hyper reference `architecture/glossary:term-FPGA' on page 20 und efined on input line 1288. LaTeX Warning: Hyper reference `architecture/glossary:term-Bitstream' on page 2 0 undefined on input line 1294. LaTeX Warning: Hyper reference `architecture/glossary:term-Tile' on page 20 und efined on input line 1305. [20] Chapter 7. [21] [22] [23] [24] Chapter 8. [25] [26] LaTeX Warning: Hyper reference `libtrellis/textconfig::doc' on page 27 undefine d on input line 1557. [27] [28] Chapter 9. [29] [30] Chapter 10. No file ProjectTrellis.ind. [31] (./ProjectTrellis.aux) Package rerunfilecheck Warning: File `ProjectTrellis.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. LaTeX Warning: There were undefined references. LaTeX Warning: Label(s) may have changed. Rerun to get cross-references right. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc} Output written on ProjectTrellis.pdf (35 pages, 143561 bytes). Transcript written on ProjectTrellis.log. Latexmk: Examining 'ProjectTrellis.log' === TeX engine is 'pdfTeX' Latexmk: applying rule 'makeindex ProjectTrellis.idx'... Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./ProjectTrellis.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/times.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty) (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (./sphinxmulticell.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (./footnotehyper-sphinx.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty) (./sphinxhighlight.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) Writing index file ProjectTrellis.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./ProjectTrellis.aux) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd ) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./ProjectTrellis.out) (./ProjectTrellis.out) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1phv.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texliv e/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (./ProjectTrellis.toc [1]) [2] [1] [2] Chapter 1. [3] [4] Chapter 2. (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1pcr.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ts1ptm.fd) [5] [6] [7] [8] Chapter 3. [9] [10] Chapter 4. [11] [12] [13] [14] Chapter 5. Underfull \hbox (badness 5548) in paragraph at lines 766--768 []|\T1/ptm/m/n/10 Ignored, used for Underfull \hbox (badness 10000) in paragraph at lines 798--801 []|\T1/ptm/m/n/10 This checks the Underfull \hbox (badness 10000) in paragraph at lines 798--801 \T1/ptm/m/n/10 ac-tual de-vice ID Underfull \hbox (badness 10000) in paragraph at lines 798--801 \T1/ptm/m/n/10 against the given Underfull \hbox (badness 10000) in paragraph at lines 839--840 []\T1/ptm/m/n/10 32 bit Ctl- Underfull \hbox (badness 10000) in paragraph at lines 843--846 \T1/ptm/m/n/10 of de-vice con-trol Underfull \hbox (badness 10000) in paragraph at lines 889--891 \T1/ptm/m/n/10 rity bit (pre-vents [15] [16] [17] [18] Chapter 6. [19] [20] Chapter 7. [21] [22] [23] [24] Chapter 8. [25] [26] [27] [28] Chapter 9. [29] [30] Chapter 10. (./ProjectTrellis.ind [31] [32] [33]) (./ProjectTrellis.aux) Package rerunfilecheck Warning: File `ProjectTrellis.out' has changed. (rerunfilecheck) Rerun to get outlines right (rerunfilecheck) or use package `bookmark'. ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc} Output written on ProjectTrellis.pdf (37 pages, 154046 bytes). Transcript written on ProjectTrellis.log. Latexmk: Examining 'ProjectTrellis.log' === TeX engine is 'pdfTeX' Latexmk: applying rule 'pdflatex'... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (preloaded format=pdflatex) restricted \write18 enabled. entering extended mode (./ProjectTrellis.tex LaTeX2e <2020-02-02> patch level 5 L3 programming layer <2020-04-06> (./sphinxmanual.cls Document Class: sphinxmanual 2019/12/01 v2.3.0 Document class (Sphinx manual) (/usr/share/texlive/texmf-dist/tex/latex/base/report.cls Document Class: report 2019/12/20 v1.4l Standard LaTeX document class (/usr/share/texlive/texmf-dist/tex/latex/base/size10.clo))) (/usr/share/texlive/texmf-dist/tex/latex/base/inputenc.sty) (/usr/share/texlive/texmf-dist/tex/latex/cmap/cmap.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/fontenc.sty<>) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsmath.sty For additional information on amsmath, use the `?' option. (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amstext.sty (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsgen.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsbsy.sty) (/usr/share/texlive/texmf-dist/tex/latex/amsmath/amsopn.sty)) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amssymb.sty (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/amsfonts.sty)) (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.sty (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/babel-english/english.ldf (/usr/share/texlive/texmf-dist/tex/generic/babel/babel.def (/usr/share/texlive/texmf-dist/tex/generic/babel/txtbabel.def)))) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/times.sty) (/usr/share/texlive/texmf-dist/tex/latex/fncychap/fncychap.sty) (./sphinx.sty (/usr/share/texlive/texmf-dist/tex/generic/ltxcmds/ltxcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphicx.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/keyval.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics/graphics.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics/trig.sty) (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/graphics.cfg) (/usr/share/texlive/texmf-dist/tex/latex/graphics-def/pdftex.def))) (/usr/share/texlive/texmf-dist/tex/latex/fancyhdr/fancyhdr.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/textcomp.sty) (/usr/share/texlive/texmf-dist/tex/latex/titlesec/titlesec.sty) (/usr/share/texlive/texmf-dist/tex/latex/tabulary/tabulary.sty (/usr/share/texlive/texmf-dist/tex/latex/tools/array.sty)) (/usr/share/texlive/texmf-dist/tex/latex/tools/longtable.sty) (/usr/share/texlive/texmf-dist/tex/latex/varwidth/varwidth.sty) (./sphinxmulticell.sty) (/usr/share/texlive/texmf-dist/tex/latex/base/makeidx.sty) (/usr/share/texlive/texmf-dist/tex/latex/framed/framed.sty) (/usr/share/texlive/texmf-dist/tex/latex/xcolor/xcolor.sty (/usr/share/texlive/texmf-dist/tex/latex/graphics-cfg/color.cfg)) (/usr/share/texlive/texmf-dist/tex/latex/fancyvrb/fancyvrb.sty) (./footnotehyper-sphinx.sty) (/usr/share/texlive/texmf-dist/tex/latex/float/float.sty) (/usr/share/texlive/texmf-dist/tex/latex/wrapfig/wrapfig.sty) (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip.sty (/usr/share/texlive/texmf-dist/tex/latex/parskip/parskip-2001-04-09.sty)) (/usr/share/texlive/texmf-dist/tex/latex/base/alltt.sty) (/usr/share/texlive/texmf-dist/tex/latex/upquote/upquote.sty) (/usr/share/texlive/texmf-dist/tex/latex/capt-of/capt-of.sty) (/usr/share/texlive/texmf-dist/tex/latex/needspace/needspace.sty) (./sphinxhighlight.sty) (/usr/share/texlive/texmf-dist/tex/latex/kvoptions/kvoptions.sty (/usr/share/texlive/texmf-dist/tex/generic/kvsetkeys/kvsetkeys.sty))) (/usr/share/texlive/texmf-dist/tex/latex/geometry/geometry.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/ifvtex.sty (/usr/share/texlive/texmf-dist/tex/generic/iftex/iftex.sty))) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hyperref.sty (/usr/share/texlive/texmf-dist/tex/latex/pdftexcmds/pdftexcmds.sty (/usr/share/texlive/texmf-dist/tex/generic/infwarerr/infwarerr.sty)) (/usr/share/texlive/texmf-dist/tex/generic/kvdefinekeys/kvdefinekeys.sty) (/usr/share/texlive/texmf-dist/tex/generic/pdfescape/pdfescape.sty) (/usr/share/texlive/texmf-dist/tex/latex/hycolor/hycolor.sty) (/usr/share/texlive/texmf-dist/tex/latex/letltxmacro/letltxmacro.sty) (/usr/share/texlive/texmf-dist/tex/latex/auxhook/auxhook.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/pd1enc.def) (/usr/share/texlive/texmf-dist/tex/generic/intcalc/intcalc.sty) (/usr/share/texlive/texmf-dist/tex/generic/etexcmds/etexcmds.sty) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/puenc.def) (/usr/share/texlive/texmf-dist/tex/latex/url/url.sty) (/usr/share/texlive/texmf-dist/tex/generic/bitset/bitset.sty (/usr/share/texlive/texmf-dist/tex/generic/bigintcalc/bigintcalc.sty)) (/usr/share/texlive/texmf-dist/tex/generic/atbegshi/atbegshi.sty)) (/usr/share/texlive/texmf-dist/tex/latex/hyperref/hpdftex.def (/usr/share/texlive/texmf-dist/tex/latex/atveryend/atveryend.sty) (/usr/share/texlive/texmf-dist/tex/latex/rerunfilecheck/rerunfilecheck.sty (/usr/share/texlive/texmf-dist/tex/generic/uniquecounter/uniquecounter.sty))) (/usr/share/texlive/texmf-dist/tex/latex/oberdiek/hypcap.sty) (./sphinxmessages.sty) Writing index file ProjectTrellis.idx (/usr/share/texlive/texmf-dist/tex/latex/l3backend/l3backend-pdfmode.def) (./ProjectTrellis.aux) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1ptm.fd ) (/usr/share/texlive/texmf-dist/tex/context/base/mkii/supp-pdf.mkii [Loading MPS to PDF converter (version 2006.09.02).] ) (/usr/share/texlive/texmf-dist/tex/latex/epstopdf-pkg/epstopdf-base.sty (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/epstopdf-sys.cfg)) *geometry* driver: auto-detecting *geometry* detected driver: pdftex (/usr/share/texlive/texmf-dist/tex/latex/hyperref/nameref.sty (/usr/share/texlive/texmf-dist/tex/latex/refcount/refcount.sty) (/usr/share/texlive/texmf-dist/tex/generic/gettitlestring/gettitlestring.sty)) (./ProjectTrellis.out) (./ProjectTrellis.out) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1phv.fd)<> (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsa.fd) (/usr/share/texlive/texmf-dist/tex/latex/amsfonts/umsb.fd) [1{/usr/share/texliv e/texmf-dist/fonts/map/pdftex/updmap/pdftex.map}] [2] (./ProjectTrellis.toc [1]) [2] [1] [2] Chapter 1. [3] [4] Chapter 2. (/usr/share/texlive/texmf-dist/tex/latex/psnfss/t1pcr.fd) (/usr/share/texlive/texmf-dist/tex/latex/psnfss/ts1ptm.fd) [5] [6] [7] [8] Chapter 3. [9] [10] Chapter 4. [11] [12] [13] [14] Chapter 5. Underfull \hbox (badness 5548) in paragraph at lines 766--768 []|\T1/ptm/m/n/10 Ignored, used for Underfull \hbox (badness 10000) in paragraph at lines 798--801 []|\T1/ptm/m/n/10 This checks the Underfull \hbox (badness 10000) in paragraph at lines 798--801 \T1/ptm/m/n/10 ac-tual de-vice ID Underfull \hbox (badness 10000) in paragraph at lines 798--801 \T1/ptm/m/n/10 against the given Underfull \hbox (badness 10000) in paragraph at lines 839--840 []\T1/ptm/m/n/10 32 bit Ctl- Underfull \hbox (badness 10000) in paragraph at lines 843--846 \T1/ptm/m/n/10 of de-vice con-trol Underfull \hbox (badness 10000) in paragraph at lines 889--891 \T1/ptm/m/n/10 rity bit (pre-vents [15] [16] [17] [18] Chapter 6. [19] [20] Chapter 7. [21] [22] [23] [24] Chapter 8. [25] [26] [27] [28] Chapter 9. [29] [30] Chapter 10. (./ProjectTrellis.ind [31] [32] [33]) (./ProjectTrellis.aux) ) (see the transcript file for additional information){/usr/share/texlive/texmf-d ist/fonts/enc/dvips/base/8r.enc} Output written on ProjectTrellis.pdf (37 pages, 154101 bytes). Transcript written on ProjectTrellis.log. Latexmk: Examining 'ProjectTrellis.log' === TeX engine is 'pdfTeX' Latexmk: All targets (ProjectTrellis.pdf) are up-to-date make[1]: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/_build/latex' make: Leaving directory '/builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs' /bin/sh: line 1: git: command not found WARNING: html_static_path entry '_static' does not exist /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/overview.rst:9: WARNING: term quadrant not found in case sensitive match.made a reference to Quadrant instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:19: WARNING: term frame not found in case sensitive match.made a reference to Frame instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:46: WARNING: term specimen not found in case sensitive match.made a reference to Specimen instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:46: WARNING: term database not found in case sensitive match.made a reference to Database instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:50: WARNING: term tile not found in case sensitive match.made a reference to Tile instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:55: WARNING: term tile not found in case sensitive match.made a reference to Tile instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:70: WARNING: term tile not found in case sensitive match.made a reference to Tile instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:82: WARNING: term wire not found in case sensitive match.made a reference to Wire instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:82: WARNING: term tile not found in case sensitive match.made a reference to Tile instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:98: WARNING: term wire not found in case sensitive match.made a reference to Wire instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:98: WARNING: term arc not found in case sensitive match.made a reference to Arc instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:105: WARNING: term bitstream not found in case sensitive match.made a reference to Bitstream instead. /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f/docs/architecture/glossary.rst:116: WARNING: term tile not found in case sensitive match.made a reference to Tile instead. make[1]: warning: jobserver unavailable: using -j1. Add '+' to parent make rule. Rc files read: /etc/latexmkrc latexmkrc Latexmk: This is Latexmk, John Collins, 20 November 2021, version: 4.76. Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'ProjectTrellis.tex' ------------ Run number 1 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "ProjectTrellis.tex"' ------------ kpathsea: Running mktexfmt pdflatex.fmt mktexfmt: mktexfmt is using the following fmtutil.cnf files (in precedence order): mktexfmt: /usr/share/texlive/texmf-dist/web2c/fmtutil.cnf mktexfmt: mktexfmt is using the following fmtutil.cnf file for writing changes: mktexfmt: /builddir/.texlive2020/texmf-config/web2c/fmtutil.cnf mktexfmt [INFO]: writing formats under /builddir/.texlive2020/texmf-var/web2c mktexfmt [INFO]: --- remaking pdflatex with pdftex mktexfmt: running `pdftex -ini -jobname=pdflatex -progname=pdflatex -translate-file=cp227.tcx *pdflatex.ini' ... This is pdfTeX, Version 3.14159265-2.6-1.40.21 (TeX Live 2020) (INITEX) restricted \write18 enabled. (/usr/share/texlive/texmf-dist/web2c/cp227.tcx) entering extended mode (/usr/share/texlive/texmf-dist/tex/latex/latexconfig/pdflatex.ini (/usr/share/texlive/texmf-dist/tex/generic/tex-ini-files/pdftexconfig.tex) (/usr/share/texlive/texmf-dist/tex/latex/base/latex.ltx (/usr/share/texlive/texmf-dist/tex/latex/base/texsys.cfg) ./texsys.aux found \@currdir set to: ./. Assuming \openin and \input have the same search path. Defining UNIX/DOS style filename parser. catcodes, registers, parameters, LaTeX2e <2020-02-02> patch level 5 hacks, control, par, spacing, files, font encodings, lengths, ==================================== Local config file fonttext.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fonttext.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.def) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/t1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmr.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmss.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1cmtt.fd))) ==================================== Local config file fontmath.cfg used ==================================== (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/fontmath.ltx === Don't modify this file, use a .cfg file instead === (/usr/share/texlive/texmf-dist/tex/latex/base/omlcmm.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omscmsy.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/omxcmex.fd) (/usr/share/texlive/texmf-dist/tex/latex/base/ucmr.fd))) ==================================== Local config file preload.cfg used ===================================== (/usr/share/texlive/texmf-dist/tex/latex/base/preload.cfg (/usr/share/texlive/texmf-dist/tex/latex/base/preload.ltx)) page nos., x-ref, environments, center, verbatim, math definitions, boxes, title, sectioning, contents, floats, footnotes, index, bibliography, output, =========================================== Local configuration file hyphen.cfg used =========================================== (/usr/share/texlive/texmf-dist/tex/generic/babel/hyphen.cfg (/usr/share/texlive/texmf-dist/tex/generic/babel/switch.def) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/hyphen.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/dumyhyph.tex) (/usr/share/texlive/texmf-dist/tex/generic/hyphen/zerohyph.tex)) (/usr/share/texlive/texmf-dist/tex/latex/base/utf8.def (/usr/share/texlive/texmf-dist/tex/latex/base/omsenc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ot1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/t1enc.dfu) (/usr/share/texlive/texmf-dist/tex/latex/base/ts1enc.dfu)) (/usr/share/texlive/texmf-dist/tex/latex/base/ltexpl.ltx (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3.ltx (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/expl3-code.tex (/usr/share/texlive/texmf-dist/tex/latex/l3kernel/l3deprecation.def)))) ) ) Beginning to dump on file pdflatex.fmt (preloaded format=pdflatex 2022.2.23) 17445 strings of total length 297855 233798 memory locations dumped; current usage is 165&226831 15747 multiletter control sequences \font\nullfont=nullfont \font\OMX/cmex/m/n/10=cmex10 \font\tenln=line10 \font\tenlnw=linew10 \font\tencirc=lcircle10 \font\tencircw=lcirclew10 \font\OT1/cmr/m/n/5=cmr5 \font\OT1/cmr/m/n/7=cmr7 \font\OT1/cmr/m/n/10=cmr10 \font\OML/cmm/m/it/5=cmmi5 \font\OML/cmm/m/it/7=cmmi7 \font\OML/cmm/m/it/10=cmmi10 \font\OMS/cmsy/m/n/5=cmsy5 \font\OMS/cmsy/m/n/7=cmsy7 \font\OMS/cmsy/m/n/10=cmsy10 \font\c__fp_exp_intarray=cmr10 at 0.00002pt \font\c__fp_trig_intarray=cmr10 at 0.00003pt \font\g__regex_charcode_intarray=cmr10 at 0.00005pt \font\g__regex_catcode_intarray=cmr10 at 0.00006pt \font\g__regex_balance_intarray=cmr10 at 0.00008pt \font\g__regex_state_active_intarray=cmr10 at 0.00009pt \font\g__regex_thread_state_intarray=cmr10 at 0.0001pt \font\g__regex_submatch_prev_intarray=cmr10 at 0.00012pt \font\g__regex_submatch_begin_intarray=cmr10 at 0.00014pt \font\g__regex_submatch_end_intarray=cmr10 at 0.00015pt 532331 words of font info for 24 preloaded fonts 14 hyphenation exceptions Hyphenation trie of length 6081 has 183 ops out of 35111 2 for language 1 181 for language 0 0 words of pdfTeX memory 0 indirect objects No pages of output. Transcript written on pdflatex.log. mktexfmt [INFO]: log file copied to: /builddir/.texlive2020/texmf-var/web2c/pdftex/pdflatex.log mktexfmt [INFO]: /builddir/.texlive2020/texmf-var/web2c/pdftex/pdflatex.fmt installed. mktexfmt [INFO]: successfully rebuilt formats: 1 mktexfmt [INFO]: not selected formats: 19 mktexfmt [INFO]: total formats: 20 mktexfmt [INFO]: exiting with status 0 Latexmk: Index file 'ProjectTrellis.idx' was written Latexmk: Missing input file 'ProjectTrellis.ind' (or dependence on it) from following: 'No file ProjectTrellis.ind.' Latexmk: References changed. Latexmk: References changed. Latexmk: Log file says output to 'ProjectTrellis.pdf' Rule 'makeindex ProjectTrellis.idx': File changes, etc: Changed files, or newly in use since previous run(s): 'ProjectTrellis.idx' ------------ Run number 1 of rule 'makeindex ProjectTrellis.idx' ------------ ------------ Running 'makeindex -s python.ist -o "ProjectTrellis.ind" "ProjectTrellis.idx"' ------------ This is makeindex, version 2.15 [TeX Live 2020] (kpathsea + Thai support). Scanning style file ./python.ist.......done (7 attributes redefined, 0 ignored). Scanning input file ProjectTrellis.idx....done (25 entries accepted, 0 rejected). Sorting entries....done (102 comparisons). Generating output file ProjectTrellis.ind....done (79 lines written, 0 warnings). Output written in ProjectTrellis.ind. Transcript written in ProjectTrellis.ilg. Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'ProjectTrellis.aux' 'ProjectTrellis.ind' 'ProjectTrellis.out' ------------ Run number 2 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "ProjectTrellis.tex"' ------------ Latexmk: Index file 'ProjectTrellis.idx' was written Latexmk: References changed. Latexmk: Log file says output to 'ProjectTrellis.pdf' Rule 'pdflatex': File changes, etc: Changed files, or newly in use since previous run(s): 'ProjectTrellis.aux' 'ProjectTrellis.out' 'ProjectTrellis.toc' ------------ Run number 3 of rule 'pdflatex' ------------ ------------ Running 'pdflatex -recorder "ProjectTrellis.tex"' ------------ Latexmk: Index file 'ProjectTrellis.idx' was written Latexmk: Log file says output to 'ProjectTrellis.pdf' + mkdir man1 + for f in ecp* + '[' -x ecpbram ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.2.1 -N -o man1/ecpbram.1 ./ecpbram + sed -i '/required but missing/d' man1/ecpbram.1 + for f in ecp* + '[' -x ecpmulti ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.2.1 -N -o man1/ecpmulti.1 ./ecpmulti + sed -i '/required but missing/d' man1/ecpmulti.1 + for f in ecp* + '[' -x ecppack ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.2.1 -N -o man1/ecppack.1 ./ecppack + sed -i '/required but missing/d' man1/ecppack.1 + for f in ecp* + '[' -x ecppll ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.2.1 -N -o man1/ecppll.1 ./ecppll + sed -i '/required but missing/d' man1/ecppll.1 + for f in ecp* + '[' -x ecpunpack ']' + LD_PRELOAD=./libtrellis.so + help2man --no-discard-stderr --version-string 1.2.1 -N -o man1/ecpunpack.1 ./ecpunpack + sed -i '/required but missing/d' man1/ecpunpack.1 + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.BfhYyW + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le '!=' / ']' + rm -rf /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le ++ dirname /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + DESTDIR=/builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le + /usr/bin/cmake --install . -- Install configuration: "" -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/lib64/trellis/libtrellis.so -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpbram -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecppack -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecppll -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpunpack -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpmulti -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/lib64/trellis/pytrellis.so -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/COPYING -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-12F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-12F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-12F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-12F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-25F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-25F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-25F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-25F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-45F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-45F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-45F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-45F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-85F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-85F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-85F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5U-85F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-25F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-25F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-25F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-25F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-45F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-45F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-45F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-45F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-85F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-85F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-85F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM-85F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-25F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-25F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-25F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-25F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-45F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-45F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-45F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-45F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-85F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-85F/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-85F/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/LFE5UM5G-85F/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF2A -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF2A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF7A -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF7A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BANKREF8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_0H -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_0H/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_0V -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_0V/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_2V -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/BMID_2V/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCU3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUA -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUD -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUD/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUF -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUG -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUG/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUH -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUH/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUI -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DCUI/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DSP -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_DSP/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_EBR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_EBR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_EFB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_EFB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_EFB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_EFB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_LR_S -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_LR_S/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CIB_PLL3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_LL_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_LL_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_LR_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_LR_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_UL_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_UL_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_UR_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/CMUX_UR_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DCU8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_ULA -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_ULA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_URA -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DDRDLL_URA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_CMUX_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DSP_SPINE_UR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DTR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DTR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_A -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_E -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_E/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_F -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_F/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_S -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_S/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_T -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/DUMMY_TILE_T/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL_25K -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LL_25K/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR_25K -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_LR_25K/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_CMUX_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LL3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_LR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EBR_SPINE_UR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/ECLK_L -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/ECLK_L/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/ECLK_R -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/ECLK_R/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB0_PICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB0_PICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB1_PICB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB1_PICB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB2_PICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB2_PICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB3_PICB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/EFB3_PICB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/LMID_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/LMID_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB2_DSP8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC_A -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LRC_A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR_A -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LR_A/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LX -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_LX/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_RX -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_CIB_RX/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_DSP8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/MIB_EBR8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/OSC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/OSC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICB1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICB1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL0_DQS2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL0_DQS2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL1_DQS3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL2_DQS1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICL2_DQS1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR0_DQS2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR0_DQS2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR1_DQS3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR2_DQS1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICR2_DQS1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICT0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICT0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICT1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PICT1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PIOT0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PIOT0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PIOT1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PIOT1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLC2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLC2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_LL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_LL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL0_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL1_LR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL1_LR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL1_UL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL1_UL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL1_UR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PLL1_UR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/POR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/POR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PVT_COUNT2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/PVT_COUNT2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/RMID_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/RMID_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/SPICB0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/SPICB0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE_CIB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TAP_DRIVE_CIB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TMID_0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TMID_0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TMID_1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/TMID_1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCU3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUA -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUA/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUD -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUD/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUF -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUG -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUG/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUH -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUH/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUI -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VCIB_DCUI/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VIQ_BUF -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/tiledata/VIQ_BUF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_6/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_6/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_7/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_7/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_8/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_8/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_8_5G -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_8_5G/cells.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/ECP5/timing/speed_8_5G/interconnect.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-1200HC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-1200HC/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-1200HC/iodb.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-1200HC/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-2000HC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-2000HC/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-2000HC/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-256HC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-256HC/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-256HC/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-4000HC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-4000HC/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-4000HC/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-640HC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-640HC/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-640HC/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-7000HC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-7000HC/globals.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/LCMXO2-7000HC/tilegrid.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR_VREF2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/B_DUMMY_ENDR_VREF2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER4_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER4_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER6 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER6/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER7 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER7/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER8 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER8/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTERB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTERB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTERC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTERC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_B -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_B/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_B_CIB_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_4K -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_4K/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_SP -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_CIB_SP/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_SP -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_EBR_SP/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_T -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_T/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CENTER_T_CIB_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG0_ENDL -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG0_ENDL/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CFG3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_CFG3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL45 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR0_END2_DLL45/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR1_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR1_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640_END -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_640_END/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1_SP -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR2_END1_SP/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY_END3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_EBR_DUMMY_END3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B0_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_BS0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_BS0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_B_DUMMY_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_T0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_T0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_TS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_TS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_T_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/CIB_PIC_T_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/DQSDLL_L -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/DQSDLL_L/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/DQSDLL_R -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/DQSDLL_R/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR0_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR0_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR0_END -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR0_END/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR1_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR1_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2_640_END -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2_640_END/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2_END -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR2_END/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR_DUMMY_END -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/EBR_DUMMY_END/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/GPLL_L0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/GPLL_L0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/GPLL_R0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/GPLL_R0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC0PIC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC0PIC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC1PIC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC1PIC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC3PIC_VREF3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LLC3PIC_VREF3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC0PIC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC0PIC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC1PIC1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC1PIC1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC1PIC2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/LRC1PIC2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_BS0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_BS0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ_VREF -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VIQ_VREF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VREF -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_B_DUMMY_VREF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0_DUMMY_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0_VREF3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L0_VREF3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_DUMMY_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L1_VREF5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L2_VREF5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L3 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L3/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF4 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF4/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF5 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_L3_VREF5/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_LS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_LS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R0_DUMMY_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_R1_DUMMY_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_RS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_RS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_RS0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_RS0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_TS0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_TS0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_OSC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_OSC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PIC_T_DUMMY_VIQ_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PLC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/PLC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/T_DUMMY_ENDR -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/T_DUMMY_ENDR/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC0_256 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC0_256/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC1_640 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC1_640/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC2 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC2/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC3PIC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/ULC3PIC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC0 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC0/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC0VREF -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC0VREF/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC1 -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC1/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC1PIC -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/MachXO2/tiledata/URC1PIC/bits.db -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/README.md -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/database/devices.json -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/README.md -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5u-25f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5u-45f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5u-85f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5um-25f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5um-45f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5um-85f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5um5g-25f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5um5g-45f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_lfe5um5g-85f.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/basecfgs/empty_machxo2-1200hc.config -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/openocd -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/openocd/ecp5-evn.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/openocd/ecp5-versa.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/openocd/ecp5-versa5g.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/openocd/trellisboard.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/openocd/ulx3s.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/misc/openocd/ulx3s_85k.cfg -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/__init__.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/database.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/devices.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/diamond.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/isptcl.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/nets -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/nets/__init__.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/nets/__main__.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/nets/ecp5.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/nets/general.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/nets/machxo2.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/nets/util.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/util/common/tiles.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/__init__.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/cell_fuzzers.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/cell_html.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/cell_timings.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/design_pip_classes.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/extract_ncl_routing.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/interconnect_html.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/parse_sdf.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/pip_classes.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/timing_dbs.py -- Installing: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/timing_solver.py -- Up-to-date: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/cell_html.py -- Up-to-date: /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/trellis/timing/util/cell_timings.py + install -Dpm644 -t /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/man/man1 man1/ecpbram.1 man1/ecpmulti.1 man1/ecppack.1 man1/ecppll.1 man1/ecpunpack.1 + /usr/lib/rpm/find-debuginfo.sh -j8 --strict-build-id -m -i --build-id-seed 1.2.1-1.20220222git3ae21cf.fc34 --unique-debug-suffix -1.2.1-1.20220222git3ae21cf.fc34.ppc64le --unique-debug-src-base trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecppack explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecppll explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpmulti explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/lib64/trellis/libtrellis.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpbram explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpunpack explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/lib64/trellis/pytrellis.so extracting debug info from /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpbram extracting debug info from /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpmulti extracting debug info from /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/lib64/trellis/libtrellis.so extracting debug info from /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecppack extracting debug info from /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecppll extracting debug info from /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/lib64/trellis/pytrellis.so extracting debug info from /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/bin/ecpunpack original debug info size: 48752kB, size after compression: 44272kB /usr/lib/rpm/sepdebugcrcfix: Updated 7 CRC32s, 0 CRC32s did match. 1580 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.ThjBV3 + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + RPM_EC=0 ++ jobs -p + exit 0 Processing files: trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.rAJw8N + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + DOCDIR=/builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis + cp -pr README.md /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis + cp -pr docs/_build/latex/ProjectTrellis.pdf /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis + cp -pr examples /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.YRQQW5 + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + LICENSEDIR=/builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/licenses/trellis + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/licenses/trellis + cp -pr COPYING /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/licenses/trellis + RPM_EC=0 ++ jobs -p + exit 0 Provides: libtrellis.so()(64bit) trellis = 1.2.1-1.20220222git3ae21cf.fc34 trellis(ppc-64) = 1.2.1-1.20220222git3ae21cf.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: libboost_filesystem.so.1.75.0()(64bit) libboost_program_options.so.1.75.0()(64bit) libboost_thread.so.1.75.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libdl.so.2()(64bit) libdl.so.2(GLIBC_2.17)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libpthread.so.0()(64bit) libpthread.so.0(GLIBC_2.17)(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) libtrellis.so()(64bit) rtld(GNU_HASH) Processing files: trellis-devel-1.2.1-1.20220222git3ae21cf.fc34.ppc64le Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.ExcjRK + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + DOCDIR=/builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis-devel + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis-devel + cp -pr libtrellis/examples /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le/usr/share/doc/trellis-devel + RPM_EC=0 ++ jobs -p + exit 0 Provides: trellis-devel = 1.2.1-1.20220222git3ae21cf.fc34 trellis-devel(ppc-64) = 1.2.1-1.20220222git3ae21cf.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 libboost_thread.so.1.75.0()(64bit) libc.so.6()(64bit) libc.so.6(GLIBC_2.17)(64bit) libc.so.6(GLIBC_2.32)(64bit) libgcc_s.so.1()(64bit) libgcc_s.so.1(GCC_3.0)(64bit) libpthread.so.0()(64bit) libpthread.so.0(GLIBC_2.17)(64bit) libpython3.9.so.1.0()(64bit) libstdc++.so.6()(64bit) libstdc++.so.6(CXXABI_1.3)(64bit) libstdc++.so.6(CXXABI_1.3.13)(64bit) libstdc++.so.6(CXXABI_1.3.2)(64bit) libstdc++.so.6(CXXABI_1.3.3)(64bit) libstdc++.so.6(CXXABI_1.3.5)(64bit) libstdc++.so.6(CXXABI_1.3.9)(64bit) libstdc++.so.6(GLIBCXX_3.4)(64bit) libstdc++.so.6(GLIBCXX_3.4.11)(64bit) libstdc++.so.6(GLIBCXX_3.4.14)(64bit) libstdc++.so.6(GLIBCXX_3.4.15)(64bit) libstdc++.so.6(GLIBCXX_3.4.18)(64bit) libstdc++.so.6(GLIBCXX_3.4.20)(64bit) libstdc++.so.6(GLIBCXX_3.4.21)(64bit) libstdc++.so.6(GLIBCXX_3.4.26)(64bit) libstdc++.so.6(GLIBCXX_3.4.29)(64bit) libstdc++.so.6(GLIBCXX_3.4.9)(64bit) rtld(GNU_HASH) Processing files: trellis-data-1.2.1-1.20220222git3ae21cf.fc34.noarch Provides: trellis-data = 1.2.1-1.20220222git3ae21cf.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: trellis-debugsource-1.2.1-1.20220222git3ae21cf.fc34.ppc64le Provides: trellis-debugsource = 1.2.1-1.20220222git3ae21cf.fc34 trellis-debugsource(ppc-64) = 1.2.1-1.20220222git3ae21cf.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: trellis-debuginfo-1.2.1-1.20220222git3ae21cf.fc34.ppc64le Provides: debuginfo(build-id) = 3c25e241440baeffce04dafa909d285cee15ec00 debuginfo(build-id) = 6e14ae9c0bc44b90d784918bb1bbc66a57dca1ae debuginfo(build-id) = 715ed652aa55c2e3dc92c508a8003617cc6183c4 debuginfo(build-id) = 71d08cd5266c0350bfb613a955721d3473d71d47 debuginfo(build-id) = dcb2138c56a8e7c410a7cfbd59bbafd9eb80b1bc debuginfo(build-id) = de75868ffe6069e1a3504561499a9fb77d73e748 trellis-debuginfo = 1.2.1-1.20220222git3ae21cf.fc34 trellis-debuginfo(ppc-64) = 1.2.1-1.20220222git3ae21cf.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: trellis-debugsource(ppc-64) = 1.2.1-1.20220222git3ae21cf.fc34 Processing files: trellis-devel-debuginfo-1.2.1-1.20220222git3ae21cf.fc34.ppc64le Provides: debuginfo(build-id) = b678dae11879c16c230413eb07da8c3c3cbc3ca4 trellis-devel-debuginfo = 1.2.1-1.20220222git3ae21cf.fc34 trellis-devel-debuginfo(ppc-64) = 1.2.1-1.20220222git3ae21cf.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: trellis-debugsource(ppc-64) = 1.2.1-1.20220222git3ae21cf.fc34 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le Wrote: /builddir/build/RPMS/trellis-debugsource-1.2.1-1.20220222git3ae21cf.fc34.ppc64le.rpm Wrote: /builddir/build/RPMS/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le.rpm Wrote: /builddir/build/RPMS/trellis-devel-1.2.1-1.20220222git3ae21cf.fc34.ppc64le.rpm Wrote: /builddir/build/RPMS/trellis-debuginfo-1.2.1-1.20220222git3ae21cf.fc34.ppc64le.rpm Wrote: /builddir/build/RPMS/trellis-devel-debuginfo-1.2.1-1.20220222git3ae21cf.fc34.ppc64le.rpm Wrote: /builddir/build/RPMS/trellis-data-1.2.1-1.20220222git3ae21cf.fc34.noarch.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.uSX1UW + umask 022 + cd /builddir/build/BUILD + cd prjtrellis-3ae21cf6a07f3883fafa5bf31e9104dfa6c9a63f + /usr/bin/rm -rf /builddir/build/BUILDROOT/trellis-1.2.1-1.20220222git3ae21cf.fc34.ppc64le + RPM_EC=0 ++ jobs -p + exit 0 Child return code was: 0