Mock Version: 2.4 Mock Version: 2.4 Mock Version: 2.4 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'], chrootPath='/var/lib/mock/f34-build-22561576-2028396/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bs --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'} and shell False Building target platforms: armv7hl Building for target armv7hl setting SOURCE_DATE_EPOCH=1596240000 Wrote: /builddir/build/SRPMS/python-cocotb-1.4.0-3.fc34.src.rpm Child return code was: 0 ENTER ['do_with_status'](['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'], chrootPath='/var/lib/mock/f34-build-22561576-2028396/root'env={'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'}shell=Falselogger=timeout=201600uid=1000gid=425user='mockbuild'nspawn_args=[]unshare_net=TrueprintOutput=False) Executing command: ['bash', '--login', '-c', '/usr/bin/rpmbuild -bb --target armv7hl --nodeps /builddir/build/SPECS/python-cocotb.spec'] with env {'TERM': 'vt100', 'SHELL': '/bin/bash', 'HOME': '/builddir', 'HOSTNAME': 'mock', 'PATH': '/usr/bin:/bin:/usr/sbin:/sbin', 'PROMPT_COMMAND': 'printf "\\033]0;\\007"', 'PS1': ' \\s-\\v\\$ ', 'LANG': 'C.UTF-8'} and shell False Building target platforms: armv7hl Building for target armv7hl setting SOURCE_DATE_EPOCH=1596240000 Executing(%prep): /bin/sh -e /var/tmp/rpm-tmp.j3Ybtp + umask 022 + cd /builddir/build/BUILD + cd /builddir/build/BUILD + rm -rf cocotb-1.4.0 + /usr/bin/gzip -dc /builddir/build/SOURCES/cocotb-1.4.0.tar.gz + /usr/bin/tar -xof - + STATUS=0 + '[' 0 -ne 0 ']' + cd cocotb-1.4.0 + /usr/bin/chmod -Rf a+rX,u+w,g-w,o-w . + sed '/$(MAKE) -k -C examples/d' -i Makefile + sed 's/env python/python3/g' -i bin/combine_results.py + sed '/env python/d' -i cocotb/ANSI.py cocotb/__init__.py cocotb/_os_compat.py cocotb/_py_compat.py cocotb/_version.py cocotb/binary.py cocotb/bus.py cocotb/clock.py cocotb/config.py cocotb/decorators.py cocotb/handle.py cocotb/ipython_support.py cocotb/log.py cocotb/memdebug.py cocotb/outcomes.py cocotb/regression.py cocotb/result.py cocotb/scheduler.py cocotb/scoreboard.py cocotb/triggers.py cocotb/utils.py cocotb/wavedrom.py cocotb/xunit_reporter.py + sed '/env python/d' -i cocotb/drivers/__init__.py cocotb/drivers/amba.py cocotb/drivers/avalon.py cocotb/drivers/opb.py cocotb/drivers/xgmii.py + sed '/env python/d' -i cocotb/generators/__init__.py cocotb/generators/bit.py cocotb/generators/byte.py cocotb/generators/packet.py + sed '/env python/d' -i cocotb/monitors/__init__.py cocotb/monitors/avalon.py cocotb/monitors/xgmii.py + RPM_EC=0 ++ jobs -p + exit 0 Executing(%build): /bin/sh -e /var/tmp/rpm-tmp.ZlTjJm + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.4.0 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld ' + /usr/bin/python3 setup.py build '--executable=/usr/bin/python3 -s' Modelsim/Questa executable (vdbg) executable not found. No FLI interface will be available. running build running build_py creating build creating build/lib.linux-armv7l-3.9 creating build/lib.linux-armv7l-3.9/cocotb copying cocotb/decorators.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/binary.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/__init__.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/scoreboard.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/regression.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/clock.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/scheduler.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/_os_compat.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/_version.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/triggers.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/result.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/xunit_reporter.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/wavedrom.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/handle.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/utils.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/memdebug.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/ANSI.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/config.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/_py_compat.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/ipython_support.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/bus.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/log.py -> build/lib.linux-armv7l-3.9/cocotb copying cocotb/outcomes.py -> build/lib.linux-armv7l-3.9/cocotb creating build/lib.linux-armv7l-3.9/cocotb/generators copying cocotb/generators/__init__.py -> build/lib.linux-armv7l-3.9/cocotb/generators copying cocotb/generators/byte.py -> build/lib.linux-armv7l-3.9/cocotb/generators copying cocotb/generators/packet.py -> build/lib.linux-armv7l-3.9/cocotb/generators copying cocotb/generators/bit.py -> build/lib.linux-armv7l-3.9/cocotb/generators creating build/lib.linux-armv7l-3.9/cocotb/monitors copying cocotb/monitors/__init__.py -> build/lib.linux-armv7l-3.9/cocotb/monitors copying cocotb/monitors/avalon.py -> build/lib.linux-armv7l-3.9/cocotb/monitors copying cocotb/monitors/xgmii.py -> build/lib.linux-armv7l-3.9/cocotb/monitors creating build/lib.linux-armv7l-3.9/cocotb/drivers copying cocotb/drivers/__init__.py -> build/lib.linux-armv7l-3.9/cocotb/drivers copying cocotb/drivers/amba.py -> build/lib.linux-armv7l-3.9/cocotb/drivers copying cocotb/drivers/avalon.py -> build/lib.linux-armv7l-3.9/cocotb/drivers copying cocotb/drivers/xgmii.py -> build/lib.linux-armv7l-3.9/cocotb/drivers copying cocotb/drivers/opb.py -> build/lib.linux-armv7l-3.9/cocotb/drivers creating build/lib.linux-armv7l-3.9/cocotb/share creating build/lib.linux-armv7l-3.9/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.inc -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.deprecations -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles copying cocotb/share/makefiles/Makefile.sim -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles creating build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.questa -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.icarus -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.verilator -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.ius -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.cvc -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.vcs -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.activehdl -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.riviera -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.ghdl -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.xcelium -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators copying cocotb/share/makefiles/simulators/Makefile.modelsim -> build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators creating build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/vhpi_user.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/gpi.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/vpi_user.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/vhpi_user_ext.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/gpi_logging.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/vpi_user_ext.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/embed.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/sv_vpi_user.h -> build/lib.linux-armv7l-3.9/cocotb/share/include copying cocotb/share/include/cocotb_utils.h -> build/lib.linux-armv7l-3.9/cocotb/share/include creating build/lib.linux-armv7l-3.9/cocotb/share/def copying cocotb/share/def/modelsim.def -> build/lib.linux-armv7l-3.9/cocotb/share/def copying cocotb/share/def/aldec.def -> build/lib.linux-armv7l-3.9/cocotb/share/def copying cocotb/share/def/.gitignore -> build/lib.linux-armv7l-3.9/cocotb/share/def copying cocotb/share/def/README.md -> build/lib.linux-armv7l-3.9/cocotb/share/def copying cocotb/share/def/icarus.def -> build/lib.linux-armv7l-3.9/cocotb/share/def copying cocotb/share/def/ghdl.def -> build/lib.linux-armv7l-3.9/cocotb/share/def creating build/lib.linux-armv7l-3.9/cocotb/share/lib creating build/lib.linux-armv7l-3.9/cocotb/share/lib/verilator copying cocotb/share/lib/verilator/verilator.cpp -> build/lib.linux-armv7l-3.9/cocotb/share/lib/verilator running build_ext building 'cocotb/libs/libgpilog' extension creating build/temp.linux-armv7l-3.9 creating build/temp.linux-armv7l-3.9/cocotb creating build/temp.linux-armv7l-3.9/cocotb/share creating build/temp.linux-armv7l-3.9/cocotb/share/lib creating build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi_log gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/gpi_log/gpi_logging.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi_log/gpi_logging.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS creating build/lib.linux-armv7l-3.9/cocotb/libs g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi_log/gpi_logging.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -o build/lib.linux-armv7l-3.9/cocotb/libs/libgpilog.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbutils' extension creating build/temp.linux-armv7l-3.9/cocotb/share/lib/utils gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/utils/cocotb_utils.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/utils/cocotb_utils.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/utils/cocotb_utils.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbutils.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotb' extension creating build/temp.linux-armv7l-3.9/cocotb/share/lib/embed gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DPYTHON_SO_LIB=libpython3.9.so -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/embed/gpi_embed.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/embed/gpi_embed.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/embed/gpi_embed.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lpython3.9 -lgpilog -lcocotbutils -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotb.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libgpi' extension creating build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DLIB_EXT=so -DSINGLETON_HANDLES= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/gpi/GpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi/GpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DLIB_EXT=so -DSINGLETON_HANDLES= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/gpi/GpiCommon.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi/GpiCommon.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi/GpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/gpi/GpiCommon.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lcocotbutils -lgpilog -lcocotb -lstdc++ -o build/lib.linux-armv7l-3.9/cocotb/libs/libgpi.so -Wl,-rpath,$ORIGIN building 'cocotb/simulator' extension creating build/temp.linux-armv7l-3.9/cocotb/share/lib/simulator gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/simulator/simulatormodule.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/simulator/simulatormodule.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/simulator/simulatormodule.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lcocotbutils -lgpilog -lgpi -o build/lib.linux-armv7l-3.9/cocotb/simulator.cpython-39-arm-linux-gnueabi.so -Wl,-rpath,$ORIGIN/libs building 'cocotb/libs/libcocotbvpi_icarus' extension creating build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DICARUS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DICARUS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_icarus.vpl -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvpi_modelsim' extension gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DMODELSIM= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DMODELSIM= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_modelsim.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvpi_ghdl' extension gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DGHDL= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DGHDL= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_ghdl.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvpi_ius' extension gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DIUS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DIUS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_ius.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvhpi_ius' extension creating build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVHPI_CHECKING=1 -DIUS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS cocotb/share/lib/vhpi/VhpiCbHdl.cpp: In member function ‘virtual int VhpiSignalObjHdl::set_signal_value(long int, gpi_set_action_t)’: cocotb/share/lib/vhpi/VhpiCbHdl.cpp:582:24: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 582 | if ((value > EnumLimits::max()) || (value < EnumLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~~ cocotb/share/lib/vhpi/VhpiCbHdl.cpp:582:55: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 582 | if ((value > EnumLimits::max()) || (value < EnumLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~~ cocotb/share/lib/vhpi/VhpiCbHdl.cpp:592:24: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 592 | if ((value > IntLimits::max()) || (value < IntLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~ cocotb/share/lib/vhpi/VhpiCbHdl.cpp:592:54: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 592 | if ((value > IntLimits::max()) || (value < IntLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~ gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVHPI_CHECKING=1 -DIUS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -lstdc++ -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvhpi_ius.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvpi_vcs' extension gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DVCS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DVCS= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_vcs.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvpi_aldec' extension gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DALDEC= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DALDEC= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_aldec.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvhpi_aldec' extension gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVHPI_CHECKING=1 -DALDEC= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vhpi/VhpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS cocotb/share/lib/vhpi/VhpiCbHdl.cpp: In member function ‘virtual int VhpiSignalObjHdl::set_signal_value(long int, gpi_set_action_t)’: cocotb/share/lib/vhpi/VhpiCbHdl.cpp:582:24: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 582 | if ((value > EnumLimits::max()) || (value < EnumLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~~ cocotb/share/lib/vhpi/VhpiCbHdl.cpp:582:55: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 582 | if ((value > EnumLimits::max()) || (value < EnumLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~~ cocotb/share/lib/vhpi/VhpiCbHdl.cpp:592:24: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 592 | if ((value > IntLimits::max()) || (value < IntLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~ cocotb/share/lib/vhpi/VhpiCbHdl.cpp:592:54: warning: comparison of integer expressions of different signedness: ‘long int’ and ‘unsigned int’ [-Wsign-compare] 592 | if ((value > IntLimits::max()) || (value < IntLimits::min())) { | ~~~~~~^~~~~~~~~~~~~~~~~~ gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVHPI_CHECKING=1 -DALDEC= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vhpi/VhpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vhpi/VhpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -lstdc++ -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvhpi_aldec.so -Wl,-rpath,$ORIGIN building 'cocotb/libs/libcocotbvpi_verilator' extension gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DVERILATOR= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiCbHdl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS gcc -pthread -Wno-unused-result -Wsign-compare -DDYNAMIC_ANNOTATIONS_ENABLED=1 -DNDEBUG -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -fstack-protector-strong -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -D_GNU_SOURCE -fPIC -fwrapv -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard -fPIC -DVPI_CHECKING=1 -DVERILATOR= -Icocotb/share/include -I/usr/include/python3.9 -c cocotb/share/lib/vpi/VpiImpl.cpp -o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -std=c++11 -Wall -Wextra -Wcast-qual -Wwrite-strings -Wconversion -Wnon-virtual-dtor -Woverloaded-virtual -D__STDC_FORMAT_MACROS g++ -pthread -shared -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -g -Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld -O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiCbHdl.o build/temp.linux-armv7l-3.9/cocotb/share/lib/vpi/VpiImpl.o -Lbuild/lib.linux-armv7l-3.9/cocotb/libs -L/usr/lib -lgpi -lgpilog -o build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_verilator.so -Wl,-rpath,$ORIGIN + RPM_EC=0 ++ jobs -p + exit 0 Executing(%install): /bin/sh -e /var/tmp/rpm-tmp.r8Yy7p + umask 022 + cd /builddir/build/BUILD + '[' /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm '!=' / ']' + rm -rf /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm ++ dirname /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm + mkdir -p /builddir/build/BUILDROOT + mkdir /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm + cd cocotb-1.4.0 + CFLAGS='-O2 -flto=auto -ffat-lto-objects -fexceptions -g -grecord-gcc-switches -pipe -Wall -Werror=format-security -Wp,-D_FORTIFY_SOURCE=2 -Wp,-D_GLIBCXX_ASSERTIONS -specs=/usr/lib/rpm/redhat/redhat-hardened-cc1 -fstack-protector-strong -specs=/usr/lib/rpm/redhat/redhat-annobin-cc1 -march=armv7-a -mfpu=vfpv3-d16 -mtune=generic-armv7-a -mabi=aapcs-linux -mfloat-abi=hard' + LDFLAGS='-Wl,-z,relro -Wl,--as-needed -Wl,-z,now -specs=/usr/lib/rpm/redhat/redhat-hardened-ld ' + /usr/bin/python3 setup.py install -O1 --skip-build --root /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm Modelsim/Questa executable (vdbg) executable not found. No FLI interface will be available. running install running install_lib creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9 creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/decorators.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/binary.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/scoreboard.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/regression.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators copying build/lib.linux-armv7l-3.9/cocotb/generators/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators copying build/lib.linux-armv7l-3.9/cocotb/generators/byte.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators copying build/lib.linux-armv7l-3.9/cocotb/generators/packet.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators copying build/lib.linux-armv7l-3.9/cocotb/generators/bit.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators copying build/lib.linux-armv7l-3.9/cocotb/clock.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/scheduler.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/_os_compat.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/_version.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/triggers.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/result.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/monitors copying build/lib.linux-armv7l-3.9/cocotb/monitors/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/monitors copying build/lib.linux-armv7l-3.9/cocotb/monitors/avalon.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/monitors copying build/lib.linux-armv7l-3.9/cocotb/monitors/xgmii.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/monitors copying build/lib.linux-armv7l-3.9/cocotb/xunit_reporter.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/wavedrom.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/handle.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/utils.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/def copying build/lib.linux-armv7l-3.9/cocotb/share/def/modelsim.def -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/def copying build/lib.linux-armv7l-3.9/cocotb/share/def/aldec.def -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/def copying build/lib.linux-armv7l-3.9/cocotb/share/def/.gitignore -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/def copying build/lib.linux-armv7l-3.9/cocotb/share/def/README.md -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/def copying build/lib.linux-armv7l-3.9/cocotb/share/def/icarus.def -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/def copying build/lib.linux-armv7l-3.9/cocotb/share/def/ghdl.def -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/def creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/vhpi_user.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/gpi.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/vpi_user.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/vhpi_user_ext.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/gpi_logging.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/vpi_user_ext.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/embed.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/sv_vpi_user.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include copying build/lib.linux-armv7l-3.9/cocotb/share/include/cocotb_utils.h -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/include creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/Makefile.inc -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/Makefile.deprecations -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/Makefile.sim -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.questa -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.icarus -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.verilator -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.ius -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.cvc -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.vcs -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.activehdl -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.riviera -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.ghdl -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.xcelium -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators copying build/lib.linux-armv7l-3.9/cocotb/share/makefiles/simulators/Makefile.modelsim -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/lib creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/lib/verilator copying build/lib.linux-armv7l-3.9/cocotb/share/lib/verilator/verilator.cpp -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/lib/verilator copying build/lib.linux-armv7l-3.9/cocotb/memdebug.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbutils.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_ghdl.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_vcs.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvhpi_ius.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotb.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_icarus.vpl -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_aldec.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libgpilog.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_ius.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvhpi_aldec.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libgpi.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_modelsim.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/libs/libcocotbvpi_verilator.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs copying build/lib.linux-armv7l-3.9/cocotb/ANSI.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/config.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/simulator.cpython-39-arm-linux-gnueabi.so -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/_py_compat.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/ipython_support.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb creating /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers copying build/lib.linux-armv7l-3.9/cocotb/drivers/__init__.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers copying build/lib.linux-armv7l-3.9/cocotb/drivers/amba.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers copying build/lib.linux-armv7l-3.9/cocotb/drivers/avalon.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers copying build/lib.linux-armv7l-3.9/cocotb/drivers/xgmii.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers copying build/lib.linux-armv7l-3.9/cocotb/drivers/opb.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers copying build/lib.linux-armv7l-3.9/cocotb/bus.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/log.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb copying build/lib.linux-armv7l-3.9/cocotb/outcomes.py -> /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/decorators.py to decorators.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/binary.py to binary.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/__init__.py to __init__.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/scoreboard.py to scoreboard.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/regression.py to regression.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators/__init__.py to __init__.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators/byte.py to byte.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators/packet.py to packet.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/generators/bit.py to bit.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/clock.py to clock.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/scheduler.py to scheduler.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/_os_compat.py to _os_compat.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/_version.py to _version.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/triggers.py to triggers.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/result.py to result.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/monitors/__init__.py to __init__.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/monitors/avalon.py to avalon.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/monitors/xgmii.py to xgmii.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/xunit_reporter.py to xunit_reporter.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/wavedrom.py to wavedrom.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/handle.py to handle.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/utils.py to utils.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/memdebug.py to memdebug.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/ANSI.py to ANSI.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/config.py to config.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/_py_compat.py to _py_compat.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/ipython_support.py to ipython_support.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers/__init__.py to __init__.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers/amba.py to amba.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers/avalon.py to avalon.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers/xgmii.py to xgmii.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/drivers/opb.py to opb.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/bus.py to bus.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/log.py to log.cpython-39.pyc byte-compiling /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/outcomes.py to outcomes.cpython-39.pyc writing byte-compilation script '/tmp/tmp3p929th5.py' /usr/bin/python3 /tmp/tmp3p929th5.py removing /tmp/tmp3p929th5.py running install_egg_info running egg_info creating cocotb.egg-info writing cocotb.egg-info/PKG-INFO writing dependency_links to cocotb.egg-info/dependency_links.txt writing entry points to cocotb.egg-info/entry_points.txt writing top-level names to cocotb.egg-info/top_level.txt writing manifest file 'cocotb.egg-info/SOURCES.txt' reading manifest file 'cocotb.egg-info/SOURCES.txt' reading manifest template 'MANIFEST.in' writing manifest file 'cocotb.egg-info/SOURCES.txt' Copying cocotb.egg-info to /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb-1.4.0-py3.9.egg-info running install_scripts Installing cocotb-config script to /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/bin + rm -rfv /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/bin/__pycache__ + /usr/lib/rpm/find-debuginfo.sh -j5 --strict-build-id -m -i --build-id-seed 1.4.0-3.fc34 --unique-debug-suffix -1.4.0-3.fc34.arm --unique-debug-src-base python-cocotb-1.4.0-3.fc34.arm --run-dwz --dwz-low-mem-die-limit 10000000 --dwz-max-die-limit 50000000 -S debugsourcefiles.list /builddir/build/BUILD/cocotb-1.4.0 explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotb.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbutils.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvhpi_aldec.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvhpi_ius.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_aldec.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbutils.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvhpi_aldec.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvhpi_ius.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotb.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_aldec.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_ghdl.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_ghdl.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_icarus.vpl explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_ius.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_modelsim.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_vcs.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_ius.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_modelsim.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_vcs.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_verilator.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libgpi.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libcocotbvpi_verilator.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libgpi.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libgpilog.so explicitly decompress any DWARF compressed ELF sections in /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/simulator.cpython-39-arm-linux-gnueabi.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs/libgpilog.so extracting debug info from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/simulator.cpython-39-arm-linux-gnueabi.so original debug info size: 7084kB, size after compression: 5020kB /usr/lib/rpm/sepdebugcrcfix: Updated 14 CRC32s, 0 CRC32s did match. 682 blocks + /usr/lib/rpm/check-buildroot + /usr/lib/rpm/redhat/brp-ldconfig + /usr/lib/rpm/brp-compress + /usr/lib/rpm/redhat/brp-strip-lto /usr/bin/strip + /usr/lib/rpm/brp-strip-static-archive /usr/bin/strip + /usr/lib/rpm/redhat/brp-python-bytecompile '' 1 0 Bytecompiling .py files below /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9 using /usr/bin/python3.9 Bytecompiling .py files below /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/debug/usr/lib/python3.9 using /usr/bin/python3.9 + /usr/lib/rpm/brp-python-hardlink + /usr/lib/rpm/redhat/brp-mangle-shebangs Executing(%check): /bin/sh -e /var/tmp/rpm-tmp.KBdIZn + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.4.0 + export PYTHON_BIN=python3 + PYTHON_BIN=python3 + export PATH=/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin:/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/bin + PATH=/usr/bin:/bin:/usr/sbin:/sbin:/usr/local/sbin:/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/bin + export PYTHONPATH=:/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages + PYTHONPATH=:/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages + make SIM=icarus make do_tests; ret=$?; ./bin/combine_results.py && exit $ret make[1]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0' make -k -C tests make[2]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests' cd test_cases/issue_120 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_120' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_120' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_120/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_120 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237256 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_120.issue_120_scheduling 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: issue_120_scheduling 0.00ns INFO ..t.issue_120_scheduling.0xb5d3b070 decorators.py:255 in _advance Starting test: "issue_120_scheduling" Description: None 15.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: issue_120_scheduling 15.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 15.00ns INFO cocotb.regression regression.py:548 in _log_test_summary **************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** **************************************************************************************** ** issue_120.issue_120_scheduling PASS 15.00 0.01 2994.28 ** **************************************************************************************** 15.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 15.00 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 1782.91 NS/S ** ************************************************************************************* 15.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_120' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_120' cd test_cases/issue_1279 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_1279' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_1279' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_1279/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_1279 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237259 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_1279.test_sim_failure_a 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_1279.test_sim_failure_b 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/2: test_sim_failure_a 0.00ns INFO ..est.test_sim_failure_a.0xb5da2070 decorators.py:255 in _advance Starting test: "test_sim_failure_a" Description: None 0.00ns ERROR cocotb.scheduler __init__.py:269 in _sim_event Failing test at simulator request before test run completion: Simulator shutdown prematurely 0.00ns INFO cocotb.regression regression.py:380 in _score_test Test errored as expected: test_sim_failure_a (result was SimFailure) 0.00ns INFO cocotb.regression regression.py:380 in _score_test Test errored as expected: test_sim_failure_b (result was SimFailure) 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 2 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:548 in _log_test_summary *************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************************** ** issue_1279.test_sim_failure_a PASS 0.00 0.00 0.00 ** ** issue_1279.test_sim_failure_b PASS 0.00 0.00 nan ** *************************************************************************************** 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.00 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_1279' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_1279' cd test_cases/issue_142 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_142' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_142' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_142/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_142 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237261 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_142.issue_142_overflow_error 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: issue_142_overflow_error 0.00ns INFO ..sue_142_overflow_error.0xb5cff130 decorators.py:255 in _advance Starting test: "issue_142_overflow_error" Description: Tranparently convert ints too long to pass through the GPI interface natively into BinaryValues 17.50ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: issue_142_overflow_error 17.50ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 17.50ns INFO cocotb.regression regression.py:548 in _log_test_summary ******************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************** ** issue_142.issue_142_overflow_error PASS 17.50 0.01 3255.91 ** ******************************************************************************************** 17.50ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 17.50 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 2014.56 NS/S ** ************************************************************************************* 17.50ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_142' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_142' cd test_cases/issue_253 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_253' Skipping issue_253 only runs on icarus make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_253' cd test_cases/issue_330 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_330' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_330' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_330/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_330 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237264 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_330.issue_330_direct 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_330.issue_330_iteration 0.00ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 1/2: issue_330_direct 0.00ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 2/2: issue_330_iteration 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 2 tests (2 skipped) 0.00ns INFO cocotb.regression regression.py:548 in _log_test_summary *************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************************** ** issue_330.issue_330_direct N/A 0.00 0.00 nan ** ** issue_330.issue_330_iteration N/A 0.00 0.00 nan ** *************************************************************************************** 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.00 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_330' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_330' cd test_cases/issue_348 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_348' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_348' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_348/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_348 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237266 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_348.issue_348_rising 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_348.issue_348_falling 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_348.issue_348_either 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/3: issue_348_rising 0.00ns INFO ...test.issue_348_rising.0xb5d38070 decorators.py:255 in _advance Starting test: "issue_348_rising" Description: Start two monitors on RisingEdge 10.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: issue_348_rising 10.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 2/3: issue_348_falling 10.00ns INFO ..test.issue_348_falling.0xb5d38b08 decorators.py:255 in _advance Starting test: "issue_348_falling" Description: Start two monitors on FallingEdge 20.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: issue_348_falling 20.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 3/3: issue_348_either 20.00ns INFO ...test.issue_348_either.0xb5d38cb8 decorators.py:255 in _advance Starting test: "issue_348_either" Description: Start two monitors on Edge 30.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: issue_348_either 30.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 3 tests (0 skipped) 30.00ns INFO cocotb.regression regression.py:548 in _log_test_summary ************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************* ** issue_348.issue_348_rising PASS 10.00 0.01 1826.89 ** ** issue_348.issue_348_falling PASS 10.00 0.01 1991.32 ** ** issue_348.issue_348_either PASS 10.00 0.01 1830.32 ** ************************************************************************************* 30.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 30.00 NS ** ** REAL TIME : 0.02 S ** ** SIM / REAL TIME : 1420.16 NS/S ** ************************************************************************************* 30.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_348' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_348' cd test_cases/issue_588 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_588' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_588' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_588/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_588 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237269 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_588.issue_588_coroutine_list 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: issue_588_coroutine_list 0.00ns INFO ..sue_588_coroutine_list.0xb5d59070 decorators.py:255 in _advance Starting test: "issue_588_coroutine_list" Description: Yield a list of triggers and coroutines. 5.00ns INFO cocotb.sample_module issue_588.py:12 in sample_coroutine Sample coroutine yielded. 5.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: issue_588_coroutine_list 5.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 5.00ns INFO cocotb.regression regression.py:548 in _log_test_summary ******************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************** ** issue_588.issue_588_coroutine_list PASS 5.00 0.00 2579.40 ** ******************************************************************************************** 5.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 5.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 1003.14 NS/S ** ************************************************************************************* 5.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_588' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_588' cd test_cases/issue_768_a && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_a' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_a' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_a/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_768 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237271 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_768.test 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: test 0.00ns INFO cocotb.test.test.0xb5d64070 decorators.py:255 in _advance Starting test: "test" Description: None 0.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:548 in _log_test_summary ************************************************************************ ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************ ** issue_768.test PASS 0.00 0.00 1.72 ** ************************************************************************ 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.53 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_a' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_a' cd test_cases/issue_768_b && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_b' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_b' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_b/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_768 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237274 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_768.do_test 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: do_test 0.00ns INFO cocotb.test.do_test.0xb5cf2070 decorators.py:255 in _advance Starting test: "do_test" Description: None 0.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: do_test 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:548 in _log_test_summary *************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************** ** issue_768.do_test PASS 0.00 0.00 1.87 ** *************************************************************************** 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.55 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_b' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_768_b' cd test_cases/issue_857 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_857' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_857' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_857/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_857 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237276 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_857.dummy_coroutine_001 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: dummy_coroutine_001 0.00ns INFO ..st.dummy_coroutine_001.0xb5d05070 decorators.py:255 in _advance Starting test: "dummy_coroutine_001" Description: Automatically generated test 10.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: dummy_coroutine_001 10.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 10.00ns INFO cocotb.regression regression.py:548 in _log_test_summary *************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************************** ** issue_857.dummy_coroutine_001 PASS 10.00 0.00 7644.84 ** *************************************************************************************** 10.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 10.00 NS ** ** REAL TIME : 0.03 S ** ** SIM / REAL TIME : 331.39 NS/S ** ************************************************************************************* 10.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_857' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_857' cd test_cases/issue_892 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_892' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_892' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_892/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_892 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237279 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_892.error_test 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: error_test 0.00ns INFO cocotb.test.error_test.0xb5d1d070 decorators.py:255 in _advance Starting test: "error_test" Description: None 1.00ns INFO ..ine.raise_test_success.0xb5d1d7a8 scheduler.py:502 in unschedule Test stopped by this forked coroutine 1.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: error_test 1.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 1.00ns INFO cocotb.regression regression.py:548 in _log_test_summary ****************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ****************************************************************************** ** issue_892.error_test PASS 1.00 0.00 747.60 ** ****************************************************************************** 1.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 1.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 254.84 NS/S ** ************************************************************************************* 1.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_892' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_892' cd test_cases/issue_893 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_893' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_893' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_893/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_893 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237281 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_893.fork_erroring_coroutine 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: fork_erroring_coroutine 0.00ns INFO ..ork_erroring_coroutine.0xb5d17070 decorators.py:255 in _advance Starting test: "fork_erroring_coroutine" Description: None 0.00ns ERROR ..e.coroutine_with_undef.0xb5d177c0 scheduler.py:506 in unschedule Exception raised by this forked coroutine 0.00ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: fork_erroring_coroutine (result was NameError) 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:548 in _log_test_summary ******************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************* ** issue_893.fork_erroring_coroutine PASS 0.00 0.00 0.66 ** ******************************************************************************************* 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.20 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_893' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_893' cd test_cases/issue_957 && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_957' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_957' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_957/../../../tests/designs/sample_module/sample_module.sv MODULE=issue_957 TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237284 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_957.test1 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test issue_957.test1 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/2: test1 0.00ns INFO cocotb.test.test1.0xb5da2070 decorators.py:255 in _advance Starting test: "test1" Description: None 1.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test1 1.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 2/2: test1 1.00ns INFO cocotb.test.test1.0xb5da2910 decorators.py:255 in _advance Starting test: "test1" Description: None 2.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test1 2.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 2 tests (0 skipped) 2.00ns INFO cocotb.regression regression.py:548 in _log_test_summary ************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************* ** issue_957.test1 PASS 1.00 0.00 602.19 ** ** issue_957.test1 PASS 1.00 0.00 1428.55 ** ************************************************************************* 2.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 2.00 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 342.30 NS/S ** ************************************************************************************* 2.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_957' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/issue_957' cd test_cases/test_array && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_array' Skipping test_array since icarus doesn't support indexing make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_array' cd test_cases/test_array_simple && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_array_simple' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_array_simple' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_array_simple/../../../tests/designs/sample_module/sample_module.sv MODULE=test_array_simple TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237286 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_array_simple.test_1dim_array_handles 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_array_simple.test_ndim_array_handles 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_array_simple.test_1dim_array_indexes 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_array_simple.test_ndim_array_indexes 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_array_simple.test_exceptions 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/5: test_1dim_array_handles 0.00ns INFO ..est_1dim_array_handles.0xb5d37070 decorators.py:255 in _advance Starting test: "test_1dim_array_handles" Description: Test getting and setting array values using the handle of the full array. 1000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_7_downto_4) (GPI_ARRAY) with value=[11110000, 11100000, 11010000, 11000000] 1000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_4_to_7) (GPI_ARRAY) with value=[10110000, 10100000, 10010000, 10000000] 1000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_3_downto_0) (GPI_ARRAY) with value=[01110000, 01100000, 01010000, 01000000] 1000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_0_to_3) (GPI_ARRAY) with value=[00110000, 00100000, 00010000, 00000000] 2000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_7_downto_4) (GPI_ARRAY) with value=[00000000, 00010001, 00100010, 00110011] 2000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_4_to_7) (GPI_ARRAY) with value=[01000100, 01010101, 01100110, 01110111] 2000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_3_downto_0) (GPI_ARRAY) with value=[10001000, 10011001, 10101010, 10111011] 2000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found NonHierarchyIndexableObject(sample_module.array_0_to_3) (GPI_ARRAY) with value=[11001100, 11011101, 11101110, 11111111] 2000.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_1dim_array_handles 2000.00ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 2/5: test_ndim_array_handles 2000.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 3/5: test_1dim_array_indexes 2000.00ns INFO ..est_1dim_array_indexes.0xb5d37988 decorators.py:255 in _advance Starting test: "test_1dim_array_indexes" Description: Test getting and setting values of array indexes. 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_7_downto_4[7]) (GPI_REGISTER) with value=11110000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_7_downto_4[4]) (GPI_REGISTER) with value=11000000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_4_to_7[4]) (GPI_REGISTER) with value=10110000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_4_to_7[7]) (GPI_REGISTER) with value=10000000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_3_downto_0[3]) (GPI_REGISTER) with value=01110000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_3_downto_0[0]) (GPI_REGISTER) with value=01000000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_0_to_3[0]) (GPI_REGISTER) with value=00110000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_0_to_3[3]) (GPI_REGISTER) with value=00000000 3000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_0_to_3[1]) (GPI_REGISTER) with value=00100000 4000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_7_downto_4[7]) (GPI_REGISTER) with value=11011110 4000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_4_to_7[4]) (GPI_REGISTER) with value=11111100 4000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_3_downto_0[0]) (GPI_REGISTER) with value=10101011 4000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_0_to_3[1]) (GPI_REGISTER) with value=01111010 4000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_0_to_3[3]) (GPI_REGISTER) with value=01000010 5000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_7_downto_4[7]) (GPI_REGISTER) with value=01110111 5000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_4_to_7[4]) (GPI_REGISTER) with value=01000100 5000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_3_downto_0[0]) (GPI_REGISTER) with value=00000000 5000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_0_to_3[1]) (GPI_REGISTER) with value=00010001 5000.00ns INFO cocotb.test test_array_simple.py:20 in _check_value Found ModifiableObject(sample_module.array_0_to_3[3]) (GPI_REGISTER) with value=00110011 5000.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_1dim_array_indexes 5000.00ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 4/5: test_ndim_array_indexes 5000.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 5/5: test_exceptions 5000.00ns INFO ..b.test.test_exceptions.0xb5d378b0 decorators.py:255 in _advance Starting test: "test_exceptions" Description: Test that correct Exceptions are raised. 5000.00ns INFO cocotb.test test_array_simple.py:184 in assert_raises TypeError raised as expected: Assigning non-list value to object array_7_downto_4 of type 5000.00ns INFO cocotb.test test_array_simple.py:184 in assert_raises TypeError raised as expected: Assigning non-list value to object array_4_to_7 of type 5000.00ns INFO cocotb.test test_array_simple.py:184 in assert_raises ValueError raised as expected: Assigning list of length 3 to object array_3_downto_0 of length 4 5000.00ns INFO cocotb.test test_array_simple.py:184 in assert_raises ValueError raised as expected: Assigning list of length 5 to object array_0_to_3 of length 4 5000.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_exceptions 5000.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 5 tests (2 skipped) 5000.00ns INFO cocotb.regression regression.py:548 in _log_test_summary *************************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *************************************************************************************************** ** test_array_simple.test_1dim_array_handles PASS 2000.00 0.01 266626.79 ** ** test_array_simple.test_ndim_array_handles N/A 0.00 0.00 nan ** ** test_array_simple.test_1dim_array_indexes PASS 3000.00 0.01 492906.46 ** ** test_array_simple.test_ndim_array_indexes N/A 0.00 0.00 nan ** ** test_array_simple.test_exceptions PASS 0.00 0.00 1.00 ** *************************************************************************************************** 5000.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 5000.00 NS ** ** REAL TIME : 0.02 S ** ** SIM / REAL TIME : 213455.06 NS/S ** ************************************************************************************* 5000.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_array_simple' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_array_simple' cd test_cases/test_avalon && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s burst_read_master -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon/../../../tests/designs/avalon_module/burst_read_master.v MODULE=test_avalon TESTCASE= TOPLEVEL=burst_read_master TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237289 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_avalon.test_burst_read 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: test_burst_read 0.00ns INFO ..b.test.test_burst_read.0xb5ce1070 decorators.py:255 in _advance Starting test: "test_burst_read" Description: Testing burst read {160: 160, 161: 161, 162: 162, 163: 163, 164: 164, 165: 165, 166: 166, 167: 167, 168: 168, 169: 169, 170: 170, 171: 171, 172: 172, 173: 173, 174: 174, 175: 175, 176: 176, 177: 177, 178: 178, 179: 179, 180: 180, 181: 181, 182: 182, 183: 183, 184: 184, 185: 185, 186: 186, 187: 187, 188: 188, 189: 189, 190: 190, 191: 191} 32 8bits values read 0.43ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_burst_read 0.43ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 0.43ns INFO cocotb.regression regression.py:548 in _log_test_summary ************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************* ** test_avalon.test_burst_read PASS 0.43 0.03 15.50 ** ************************************************************************************* 0.43ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.43 NS ** ** REAL TIME : 0.03 S ** ** SIM / REAL TIME : 12.38 NS/S ** ************************************************************************************* 0.43ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon' cd test_cases/test_avalon_stream && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon_stream' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon_stream' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s avalon_streaming -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon_stream/../../../tests/designs/avalon_streaming_module/avalon_streaming.sv MODULE=test_avalon_stream TESTCASE= TOPLEVEL=avalon_streaming TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237291 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_avalon_stream.test_avalon_stream 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: test_avalon_stream 0.00ns INFO ..est.test_avalon_stream.0xb5db3070 decorators.py:255 in _advance Starting test: "test_avalon_stream" Description: Test stream of avalon data 0.00ns INFO cocotb.scoreboard.avalon_streaming scoreboard.py:215 in add_interface Created with reorder_depth 0 0.68ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_avalon_stream 0.68ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 0.68ns INFO cocotb.regression regression.py:548 in _log_test_summary *********************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *********************************************************************************************** ** test_avalon_stream.test_avalon_stream PASS 0.68 0.06 11.35 ** *********************************************************************************************** 0.68ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.68 NS ** ** REAL TIME : 0.07 S ** ** SIM / REAL TIME : 9.75 NS/S ** ************************************************************************************* 0.68ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon_stream' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_avalon_stream' cd test_cases/test_closedown && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_closedown' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_closedown' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s close_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_closedown/../../../tests/designs/close_module/close_module.v MODULE=test_closedown TESTCASE= TOPLEVEL=close_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237294 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_closedown.test_failure_from_system_task 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_closedown.test_after_system_task_fail 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/2: test_failure_from_system_task 0.00ns INFO ..ilure_from_system_task.0xb5d04070 decorators.py:255 in _advance Starting test: "test_failure_from_system_task" Description: Allow the dut to call system tasks from verilog. $fatal() will fail the test, and scheduler will cleanup forked coroutines. 0.00ns INFO cocotb.simulator close_module.v:58 in *** NO MESSAGE PROVIDED *** 0.00ns INFO cocotb.simulator close_module.v:59 in This is an info message 0.00ns WARNING cocotb.simulator close_module.v:60 in *** NO MESSAGE PROVIDED *** 0.00ns WARNING cocotb.simulator close_module.v:61 in This is a warning message 0.00ns ERROR cocotb.simulator close_module.v:62 in *** NO MESSAGE PROVIDED *** 0.00ns ERROR cocotb.simulator close_module.v:63 in This is an error message 0.00ns CRITICAL cocotb.simulator close_module.v:64 in This is a a fatal message that fails the test 1.06ns ERROR cocotb.scheduler __init__.py:261 in _sim_event Failing test at simulator request 1.06ns INFO cocotb.regression regression.py:365 in _score_test Test failed as expected: test_failure_from_system_task (result was TestFailure) 1.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 2/2: test_after_system_task_fail 1.06ns INFO ..after_system_task_fail.0xb5d048e0 decorators.py:255 in _advance Starting test: "test_after_system_task_fail" Description: Test to run after failed test. 2.06ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_after_system_task_fail 2.06ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 2 tests (0 skipped) 2.06ns INFO cocotb.regression regression.py:548 in _log_test_summary ****************************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ****************************************************************************************************** ** test_closedown.test_failure_from_system_task PASS 1.06 0.00 289.65 ** ** test_closedown.test_after_system_task_fail PASS 1.00 0.00 2560.06 ** ****************************************************************************************************** 2.06ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 2.06 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 236.55 NS/S ** ************************************************************************************* 2.06ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_closedown' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_closedown' cd test_cases/test_cocotb && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_cocotb' bash: python: command not found make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_cocotb' bash: python: command not found mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_cocotb/../../../tests/designs/sample_module/sample_module.sv MODULE=" test_deprecated, test_doctests, test_synchronization_primitives, test_concurrency_primitives, test_tests, test_generator_coroutines, test_timing_triggers, test_scheduler, test_clock, test_edge_triggers, test_async_coroutines, test_handle, test_logging, " TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237296 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_deprecated.test_returnvalue_deprecated 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_deprecated.test_unicode_handle_assignment_deprecated 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_deprecated.test_convert_handle_to_string_deprecated 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_deprecated.test_create_error_deprecated 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_deprecated.test_raise_error_deprecated 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_doctests.test_utils 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_doctests.test_binary 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_synchronization_primitives.test_trigger_lock 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_synchronization_primitives.test_except_lock 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_concurrency_primitives.test_yield_list_stale 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_concurrency_primitives.test_nested_first 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_concurrency_primitives.test_first_does_not_kill 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_concurrency_primitives.test_exceptions_first 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_concurrency_primitives.test_combine 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_concurrency_primitives.test_event_is_set 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_syntax_error 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_tests_are_tests 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_async_test_can_fail 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_immediate_test 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_assertion_is_failure 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_expect_particular_exception 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_expect_exception_list 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_timeout_testdec_fail 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_timeout_testdec_pass 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_timeout_testdec_simultaneous 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_ordering_3 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_ordering_2 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_tests.test_ordering_1 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_not_a_coroutine 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_function_not_a_coroutine 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_function_not_decorated 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_function_not_decorated_fork 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_adding_a_coroutine_without_starting 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_yield_list 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_coroutine_syntax_error 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_fork_syntax_error 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_coroutine_return 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_immediate_coro 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_exceptions_direct 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_generator_coroutines.test_exceptions_forked 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_function_reentrant_clock 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_timer_with_units 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_timer_with_rational_units 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_readwrite_in_readonly 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_cached_write_in_readonly 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_afterdelay_in_readonly 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_afterdelay_in_readonly_valid 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_writes_have_taken_effect_after_readwrite 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_timeout_func_fail 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_timeout_func_pass 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_readwrite 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_timing_triggers.test_singleton_isinstance 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_coroutine_kill 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_coroutine_close_down 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.join_finished 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.consistent_join 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_kill_twice 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_join_identity 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_trigger_with_failing_prime 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_stack_overflow 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_kill_coroutine_waiting_on_the_same_trigger 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_nulltrigger_reschedule 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_event_set_schedule 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_scheduler.test_last_scheduled_write_wins 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_clock.test_clock_with_units 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_clock.test_anternal_clock 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_rising_edge 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_falling_edge 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_either_edge 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_fork_and_monitor 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_edge_count 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_edge_identity 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_singleton_isinstance 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_clock_cycles 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_edge_triggers.test_clock_cycles_forked 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_annotated_async_from_coro 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_annotated_async_from_async 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_async_from_async 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_coro_from_async 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_trigger_await_gives_self 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_await_causes_start 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_undecorated_coroutine_fork 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_async_coroutines.test_undecorated_coroutine_yield 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_handle.test_lessthan_raises_error 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_handle.test_bad_attr 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_handle.test_string_handle_takes_bytes 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_handle.test_integer 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_handle.test_real_assign_double 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_handle.test_real_assign_int 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_handle.test_access_underscore_name 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_logging.test_logging_with_args 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_logging.test_logging_default_config 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/92: test_returnvalue_deprecated 0.00ns INFO ..returnvalue_deprecated.0xb5d31070 decorators.py:255 in _advance Starting test: "test_returnvalue_deprecated" Description: None 1.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_returnvalue_deprecated 1.00ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 2/92: test_unicode_handle_assignment_deprecated 1.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 3/92: test_convert_handle_to_string_deprecated 1.00ns INFO ..e_to_string_deprecated.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_convert_handle_to_string_deprecated" Description: None 2.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_convert_handle_to_string_deprecated 2.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 4/92: test_create_error_deprecated 2.00ns INFO ..reate_error_deprecated.0xb5bdae50 decorators.py:255 in _advance Starting test: "test_create_error_deprecated" Description: None 2.00ns ERROR cocotb.Timer.0xb5c47548 result.py:59 in _raise_error A test exception NoneType: None 2.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_create_error_deprecated 2.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 5/92: test_raise_error_deprecated 2.00ns INFO ..raise_error_deprecated.0xb5bdac58 decorators.py:255 in _advance Starting test: "test_raise_error_deprecated" Description: None 2.00ns ERROR cocotb.Timer.0xb5c476c8 result.py:59 in _raise_error A test exception NoneType: None 2.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_raise_error_deprecated 2.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 6/92: test_utils 2.00ns INFO cocotb.test.test_utils.0xb5bdae68 decorators.py:255 in _advance Starting test: "test_utils" Description: None Trying: print(hexdiffs(b'a', b'b')) Expecting: 0000 61 a 0000 62 b ok Trying: print(hexdiffs(b'this short thing', b'this also short')) Expecting: 0000 746869732073686F 7274207468696E67 this short thing 0000 7468697320616C73 6F 2073686F7274 this also short ok Trying: print(hexdump(b'this somewhat long string')) Expecting: 0000 74 68 69 73 20 73 6F 6D 65 77 68 61 74 20 6C 6F this somewhat lo 0010 6E 67 20 73 74 72 69 6E 67 ng string ok 20 items had no tests: cocotb.utils cocotb.utils.ParametrizedSingleton cocotb.utils.ParametrizedSingleton.__call__ cocotb.utils.ParametrizedSingleton.__init__ cocotb.utils.ParametrizedSingleton.__singleton_key__ cocotb.utils._get_log_time_scale cocotb.utils._ldexp10 cocotb.utils._sane cocotb.utils.get_python_integer_types cocotb.utils.get_sim_steps cocotb.utils.get_sim_time cocotb.utils.get_time_from_sim_steps cocotb.utils.lazy_property cocotb.utils.lazy_property.__get__ cocotb.utils.lazy_property.__init__ cocotb.utils.pack cocotb.utils.reject_remaining_kwargs cocotb.utils.remove_traceback_frames cocotb.utils.unpack cocotb.utils.want_color_output 2 items passed all tests: 2 tests in cocotb.utils.hexdiffs 1 tests in cocotb.utils.hexdump 3 tests in 22 items. 3 passed and 0 failed. Test passed. 2.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_utils 2.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 7/92: test_binary 2.00ns INFO cocotb.test.test_binary.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_binary" Description: None Trying: vec = BinaryValue() Expecting nothing ok Trying: vec.integer = 42 Expecting nothing ok Trying: print(vec.binstr) Expecting: 101010 ok Trying: print(vec.buff) Expecting: b'*' ok Trying: val = BinaryValue("0000") Expecting nothing ok Trying: if val: print("True") else: print("False") Expecting: False ok Trying: val.integer = 42 Expecting nothing ok Trying: if val: print("True") else: print("False") Expecting: True ok Trying: BinaryValue("01000001" + "00101111").buff == b"\x41\x2F" Expecting: True ok Trying: BinaryValue("01000001" + "00101111").buff == b"\x41\x2F" Expecting: True ok 85 items had no tests: cocotb.binary cocotb.binary.BinaryRepresentation cocotb.binary.BinaryValue.__abs__ cocotb.binary.BinaryValue.__add__ cocotb.binary.BinaryValue.__and__ cocotb.binary.BinaryValue.__div__ cocotb.binary.BinaryValue.__divmod__ cocotb.binary.BinaryValue.__eq__ cocotb.binary.BinaryValue.__floordiv__ cocotb.binary.BinaryValue.__getitem__ cocotb.binary.BinaryValue.__hex__ cocotb.binary.BinaryValue.__iadd__ cocotb.binary.BinaryValue.__iand__ cocotb.binary.BinaryValue.__idiv__ cocotb.binary.BinaryValue.__ifloordiv__ cocotb.binary.BinaryValue.__ilshift__ cocotb.binary.BinaryValue.__imod__ cocotb.binary.BinaryValue.__imul__ cocotb.binary.BinaryValue.__index__ cocotb.binary.BinaryValue.__init__ cocotb.binary.BinaryValue.__int__ cocotb.binary.BinaryValue.__invert__ cocotb.binary.BinaryValue.__ior__ cocotb.binary.BinaryValue.__ipow__ cocotb.binary.BinaryValue.__irshift__ cocotb.binary.BinaryValue.__isub__ cocotb.binary.BinaryValue.__ixor__ cocotb.binary.BinaryValue.__le__ cocotb.binary.BinaryValue.__len__ cocotb.binary.BinaryValue.__long__ cocotb.binary.BinaryValue.__lshift__ cocotb.binary.BinaryValue.__mod__ cocotb.binary.BinaryValue.__mul__ cocotb.binary.BinaryValue.__ne__ cocotb.binary.BinaryValue.__neg__ cocotb.binary.BinaryValue.__oct__ cocotb.binary.BinaryValue.__or__ cocotb.binary.BinaryValue.__pos__ cocotb.binary.BinaryValue.__pow__ cocotb.binary.BinaryValue.__radd__ cocotb.binary.BinaryValue.__rand__ cocotb.binary.BinaryValue.__rdiv__ cocotb.binary.BinaryValue.__rdivmod__ cocotb.binary.BinaryValue.__repr__ cocotb.binary.BinaryValue.__rfloordiv__ cocotb.binary.BinaryValue.__rlshift__ cocotb.binary.BinaryValue.__rmod__ cocotb.binary.BinaryValue.__rmul__ cocotb.binary.BinaryValue.__ror__ cocotb.binary.BinaryValue.__rpow__ cocotb.binary.BinaryValue.__rrshift__ cocotb.binary.BinaryValue.__rshift__ cocotb.binary.BinaryValue.__rsub__ cocotb.binary.BinaryValue.__rxor__ cocotb.binary.BinaryValue.__setitem__ cocotb.binary.BinaryValue.__str__ cocotb.binary.BinaryValue.__sub__ cocotb.binary.BinaryValue.__xor__ cocotb.binary.BinaryValue._adjust cocotb.binary.BinaryValue._adjust_signed_mag cocotb.binary.BinaryValue._adjust_twos_comp cocotb.binary.BinaryValue._adjust_unsigned cocotb.binary.BinaryValue._convert_from_signed_mag cocotb.binary.BinaryValue._convert_from_twos_comp cocotb.binary.BinaryValue._convert_from_unsigned cocotb.binary.BinaryValue._convert_to_signed_mag cocotb.binary.BinaryValue._convert_to_twos_comp cocotb.binary.BinaryValue._convert_to_unsigned cocotb.binary.BinaryValue._invert cocotb.binary.BinaryValue.assign cocotb.binary.BinaryValue.binstr cocotb.binary.BinaryValue.get_binstr cocotb.binary.BinaryValue.get_value cocotb.binary.BinaryValue.get_value_signed cocotb.binary.BinaryValue.hex cocotb.binary.BinaryValue.integer cocotb.binary.BinaryValue.is_resolvable cocotb.binary.BinaryValue.n_bits cocotb.binary.BinaryValue.set_binstr cocotb.binary.BinaryValue.set_buff cocotb.binary.BinaryValue.set_value cocotb.binary.BinaryValue.signed_integer cocotb.binary.BinaryValue.value cocotb.binary._clog2 cocotb.binary.resolve 4 items passed all tests: 4 tests in cocotb.binary.BinaryValue 4 tests in cocotb.binary.BinaryValue.__bool__ 1 tests in cocotb.binary.BinaryValue.buff 1 tests in cocotb.binary.BinaryValue.get_buff 10 tests in 89 items. 10 passed and 0 failed. Test passed. 2.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_binary 2.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 8/92: test_trigger_lock 2.01ns INFO ..test.test_trigger_lock.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_trigger_lock" Description: Simple test that checks to see if context management is kept. The resource value is checked at certain points if it equals the expected amount, which is easily predictable if the context management is working. 82.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_trigger_lock 82.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 9/92: test_except_lock 82.01ns INFO ...test.test_except_lock.0xb5bdad30 decorators.py:255 in _advance Starting test: "test_except_lock" Description: Checks to see if exceptions cause the lock to be released. 82.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_except_lock 82.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 10/92: test_yield_list_stale 82.01ns INFO ...test_yield_list_stale.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_yield_list_stale" Description: Test that a trigger yielded as part of a list can't cause a spurious wakeup 82.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_yield_list_stale 82.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 11/92: test_nested_first 82.01ns INFO ..test.test_nested_first.0xb5be8bf8 decorators.py:255 in _advance Starting test: "test_nested_first" Description: Test that nested First triggers behave as expected 82.02ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_nested_first 82.02ns INFO cocotb.regression regression.py:459 in _start_test Running test 12/92: test_first_does_not_kill 82.02ns INFO ..st_first_does_not_kill.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_first_does_not_kill" Description: Test that `First` does not kill coroutines that did not finish first 85.02ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_first_does_not_kill 85.02ns INFO cocotb.regression regression.py:459 in _start_test Running test 13/92: test_exceptions_first 85.02ns INFO ...test_exceptions_first.0xb5bdae68 decorators.py:255 in _advance Starting test: "test_exceptions_first" Description: Test exception propagation via cocotb.triggers.First 85.03ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_exceptions_first 85.03ns INFO cocotb.regression regression.py:459 in _start_test Running test 14/92: test_combine 85.03ns INFO cocotb.test.test_combine.0xb5be8bc8 decorators.py:255 in _advance Starting test: "test_combine" Description: Test the Combine trigger. 85.06ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_combine 85.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 15/92: test_event_is_set 85.06ns INFO ..test.test_event_is_set.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_event_is_set" Description: None 85.06ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_event_is_set 85.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 16/92: test_syntax_error 85.06ns INFO ..test.test_syntax_error.0xb5bdae68 decorators.py:255 in _advance Starting test: "test_syntax_error" Description: Syntax error in the test 86.06ns WARNING cocotb.clk common.py:24 in clock_gen Clock generator finished! 86.06ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_syntax_error (result was NameError) 86.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 17/92: test_tests_are_tests 86.06ns INFO ..t.test_tests_are_tests.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_tests_are_tests" Description: Test that things annotated with cocotb.test are tests 86.06ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_tests_are_tests 86.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 18/92: test_async_test_can_fail 86.06ns INFO ..st_async_test_can_fail.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_async_test_can_fail" Description: None 86.07ns INFO cocotb.regression regression.py:365 in _score_test Test failed as expected: test_async_test_can_fail (result was TestFailure) 86.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 19/92: test_immediate_test 86.07ns INFO ..st.test_immediate_test.0xb5bdae68 decorators.py:255 in _advance Starting test: "test_immediate_test" Description: Test that tests can return immediately 86.07ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_immediate_test 86.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 20/92: test_assertion_is_failure 86.07ns INFO ..t_assertion_is_failure.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_assertion_is_failure" Description: None 86.07ns INFO cocotb.regression regression.py:365 in _score_test Test failed as expected: test_assertion_is_failure (result was AssertionError) 86.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 21/92: test_expect_particular_exception 86.07ns INFO ..t_particular_exception.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_expect_particular_exception" Description: None 86.07ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_expect_particular_exception (result was MyException) 86.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 22/92: test_expect_exception_list 86.07ns INFO .._expect_exception_list.0xb5bdae68 decorators.py:255 in _advance Starting test: "test_expect_exception_list" Description: None 86.07ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_expect_exception_list (result was MyException) 86.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 23/92: test_timeout_testdec_fail 86.07ns INFO ..t_timeout_testdec_fail.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_timeout_testdec_fail" Description: None 87.07ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_timeout_testdec_fail (result was SimTimeoutError) 87.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 24/92: test_timeout_testdec_pass 87.07ns INFO ..t_timeout_testdec_pass.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_timeout_testdec_pass" Description: None 97.08ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_timeout_testdec_pass 97.08ns INFO cocotb.regression regression.py:459 in _start_test Running test 25/92: test_timeout_testdec_simultaneous 97.08ns INFO ..t_testdec_simultaneous.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_timeout_testdec_simultaneous" Description: None 98.08ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_timeout_testdec_simultaneous 98.08ns INFO cocotb.regression regression.py:459 in _start_test Running test 26/92: test_ordering_3 98.08ns INFO ..b.test.test_ordering_3.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_ordering_3" Description: None 98.08ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_ordering_3 98.08ns INFO cocotb.regression regression.py:459 in _start_test Running test 27/92: test_ordering_2 98.08ns INFO ..b.test.test_ordering_2.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_ordering_2" Description: None 98.08ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_ordering_2 98.08ns INFO cocotb.regression regression.py:459 in _start_test Running test 28/92: test_ordering_1 98.08ns INFO ..b.test.test_ordering_1.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_ordering_1" Description: None 98.08ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_ordering_1 98.08ns WARNING cocotb.sample_module ..ator_coroutines.py:20 in test_not_a_coroutine This test will fail because we don't yield anything 98.08ns ERROR cocotb.regression regression.py:328 in _init_test Failed to initialize test test_not_a_coroutine Traceback (most recent call last): File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/decorators.py", line 502, in __call__ return RunningTest(self._func(*args, **kwargs), self) File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/decorators.py", line 239, in __init__ RunningCoroutine.__init__(self, inst, parent) File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/decorators.py", line 213, in __init__ RunningTask.__init__(self, inst) File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/decorators.py", line 102, in __init__ raise TypeError( TypeError: None isn't a valid coroutine! Did you forget to use the yield keyword? 98.08ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_not_a_coroutine (result was TypeError) 98.08ns INFO cocotb.regression regression.py:459 in _start_test Running test 30/92: test_function_not_a_coroutine 98.08ns INFO ..nction_not_a_coroutine.0xb5be87c0 decorators.py:255 in _advance Starting test: "test_function_not_a_coroutine" Description: Example of trying to yield a coroutine that isn't a coroutine 98.58ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_not_a_coroutine 98.58ns INFO cocotb.regression regression.py:459 in _start_test Running test 31/92: test_function_not_decorated 98.58ns INFO ..function_not_decorated.0xb5be8598 decorators.py:255 in _advance Starting test: "test_function_not_decorated" Description: None 98.58ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_not_decorated 98.58ns INFO cocotb.regression regression.py:459 in _start_test Running test 32/92: test_function_not_decorated_fork 98.58ns INFO ..ion_not_decorated_fork.0xb5be8028 decorators.py:255 in _advance Starting test: "test_function_not_decorated_fork" Description: Example of trying to fork a coroutine that isn't a coroutine 99.58ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_not_decorated_fork 99.58ns INFO cocotb.regression regression.py:459 in _start_test Running test 33/92: test_adding_a_coroutine_without_starting 99.58ns INFO ..utine_without_starting.0xb5be81c0 decorators.py:255 in _advance Starting test: "test_adding_a_coroutine_without_starting" Description: Catch (and provide useful error) for attempts to fork coroutines incorrectly 99.68ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_adding_a_coroutine_without_starting 99.68ns INFO cocotb.regression regression.py:459 in _start_test Running test 34/92: test_yield_list 99.68ns INFO ..b.test.test_yield_list.0xb5be8250 decorators.py:255 in _advance Starting test: "test_yield_list" Description: Example of yielding on a list of triggers 100.68ns WARNING cocotb.clk common.py:24 in clock_gen Clock generator finished! 110.68ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_yield_list 110.68ns INFO cocotb.regression regression.py:459 in _start_test Running test 35/92: test_coroutine_syntax_error 110.68ns INFO ..coroutine_syntax_error.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_coroutine_syntax_error" Description: Syntax error in a coroutine that we yield 111.68ns WARNING cocotb.clk common.py:24 in clock_gen Clock generator finished! 111.78ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_coroutine_syntax_error (result was NameError) 111.78ns INFO cocotb.regression regression.py:459 in _start_test Running test 36/92: test_fork_syntax_error 111.78ns INFO ..test_fork_syntax_error.0xb5be8418 decorators.py:255 in _advance Starting test: "test_fork_syntax_error" Description: Syntax error in a coroutine that we fork 112.78ns WARNING cocotb.clk common.py:24 in clock_gen Clock generator finished! 112.89ns ERROR ..coroutine.syntax_error.0xb5bdaee0 scheduler.py:506 in unschedule Exception raised by this forked coroutine 112.89ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_fork_syntax_error (result was NameError) 112.89ns INFO cocotb.regression regression.py:459 in _start_test Running test 37/92: test_coroutine_return 112.89ns INFO ...test_coroutine_return.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_coroutine_return" Description: Test that the Python 3.3 syntax for returning from generators works 112.89ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_coroutine_return 112.89ns INFO cocotb.regression regression.py:459 in _start_test Running test 38/92: test_immediate_coro 112.89ns INFO ..st.test_immediate_coro.0xb5be8598 decorators.py:255 in _advance Starting test: "test_immediate_coro" Description: Test that coroutines can return immediately 112.89ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_immediate_coro 112.89ns INFO cocotb.regression regression.py:459 in _start_test Running test 39/92: test_exceptions_direct 112.89ns INFO ..test_exceptions_direct.0xb5bdaee0 decorators.py:255 in _advance Starting test: "test_exceptions_direct" Description: Test exception propagation via a direct yield statement 112.90ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_exceptions_direct 112.90ns INFO cocotb.regression regression.py:459 in _start_test Running test 40/92: test_exceptions_forked 112.90ns INFO ..test_exceptions_forked.0xb5be8028 decorators.py:255 in _advance Starting test: "test_exceptions_forked" Description: Test exception propagation via cocotb.fork 112.91ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_exceptions_forked 112.91ns INFO cocotb.regression regression.py:459 in _start_test Running test 41/92: test_function_reentrant_clock 112.91ns INFO ..nction_reentrant_clock.0xb5be87a8 decorators.py:255 in _advance Starting test: "test_function_reentrant_clock" Description: Test yielding a reentrant clock 114.91ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_reentrant_clock 114.91ns INFO cocotb.regression regression.py:459 in _start_test Running test 42/92: test_timer_with_units 114.91ns INFO ...test_timer_with_units.0xb5be8448 decorators.py:255 in _advance Starting test: "test_timer_with_units" Description: None 114.91ns INFO cocotb.sample_module ..timing_triggers.py:48 in test_timer_with_units As expected, unable to create a timer of 2.5 simulator time steps 1119.42ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_timer_with_units 1119.42ns INFO cocotb.regression regression.py:459 in _start_test Running test 43/92: test_timer_with_rational_units 1119.42ns INFO ..er_with_rational_units.0xb5be8d00 decorators.py:255 in _advance Starting test: "test_timer_with_rational_units" Description: Test that rounding errors are not introduced in exact values 1121.43ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_timer_with_rational_units 1121.43ns INFO cocotb.regression regression.py:459 in _start_test Running test 44/92: test_readwrite_in_readonly 1121.43ns INFO .._readwrite_in_readonly.0xb5be8028 decorators.py:255 in _advance Starting test: "test_readwrite_in_readonly" Description: Test doing invalid sim operation VCD info: dumpfile waveform.vcd opened for output. SCHEDULER ERROR: read-only sync events created RW events! 1131.43ns INFO cocotb.regression regression.py:365 in _score_test Test failed as expected: test_readwrite_in_readonly (result was TestFailure) 1131.43ns INFO cocotb.regression regression.py:459 in _start_test Running test 45/92: test_cached_write_in_readonly 1131.43ns INFO ..ched_write_in_readonly.0xb5be8f58 decorators.py:255 in _advance Starting test: "test_cached_write_in_readonly" Description: Test doing invalid sim operation 1131.53ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_cached_write_in_readonly (result was Exception) 1131.53ns INFO cocotb.regression regression.py:459 in _start_test Running test 46/92: test_afterdelay_in_readonly 1131.53ns INFO ..afterdelay_in_readonly.0xb5be8d00 decorators.py:255 in _advance Starting test: "test_afterdelay_in_readonly" Description: Test doing invalid sim operation SCHEDULER ERROR: read-only sync events created RW events! 1132.53ns INFO cocotb.regression regression.py:365 in _score_test Test failed as expected: test_afterdelay_in_readonly (result was TestFailure) 1132.53ns INFO cocotb.regression regression.py:459 in _start_test Running test 47/92: test_afterdelay_in_readonly_valid 1132.53ns INFO ..elay_in_readonly_valid.0xb5be8028 decorators.py:255 in _advance Starting test: "test_afterdelay_in_readonly_valid" Description: Same as test_afterdelay_in_readonly but with valid delay > 0 1132.53ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_afterdelay_in_readonly_valid 1132.53ns INFO cocotb.regression regression.py:459 in _start_test Running test 48/92: test_writes_have_taken_effect_after_readwrite 1132.53ns INFO ..effect_after_readwrite.0xb5bfc8e0 decorators.py:255 in _advance Starting test: "test_writes_have_taken_effect_after_readwrite" Description: Test that ReadWrite fires first for the background write coro 1132.53ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_writes_have_taken_effect_after_readwrite 1132.53ns INFO cocotb.regression regression.py:459 in _start_test Running test 49/92: test_timeout_func_fail 1132.53ns INFO ..test_timeout_func_fail.0xb5be8f58 decorators.py:255 in _advance Starting test: "test_timeout_func_fail" Description: None 1133.53ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_timeout_func_fail 1133.53ns INFO cocotb.regression regression.py:459 in _start_test Running test 50/92: test_timeout_func_pass 1133.53ns INFO ..test_timeout_func_pass.0xb5be87a8 decorators.py:255 in _advance Starting test: "test_timeout_func_pass" Description: None 1143.53ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_timeout_func_pass 1143.53ns INFO cocotb.regression regression.py:459 in _start_test Running test 51/92: test_readwrite 1143.53ns INFO ..tb.test.test_readwrite.0xb5be8028 decorators.py:255 in _advance Starting test: "test_readwrite" Description: Test that ReadWrite can be waited on 1143.54ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_readwrite 1143.54ns INFO cocotb.regression regression.py:459 in _start_test Running test 52/92: test_singleton_isinstance 1143.54ns INFO ..t_singleton_isinstance.0xb5be8d00 decorators.py:255 in _advance Starting test: "test_singleton_isinstance" Description: Test that the result of trigger expression have a predictable type 1143.54ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_singleton_isinstance 1143.54ns INFO cocotb.regression regression.py:459 in _start_test Running test 53/92: test_coroutine_kill 1143.54ns INFO ..st.test_coroutine_kill.0xb5be8ca0 decorators.py:255 in _advance Starting test: "test_coroutine_kill" Description: Test that killing a coroutine causes pending routine continue 1144.74ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_coroutine_kill 1144.74ns INFO cocotb.regression regression.py:459 in _start_test Running test 54/92: test_coroutine_close_down 1144.74ns INFO ..t_coroutine_close_down.0xb5be8028 decorators.py:255 in _advance Starting test: "test_coroutine_close_down" Description: None 1644.74ns INFO cocotb.sample_module test_scheduler.py:73 in test_coroutine_close_down Back from joins 1644.74ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_coroutine_close_down 1644.74ns INFO cocotb.regression regression.py:459 in _start_test Running test 55/92: join_finished 1644.74ns INFO ..otb.test.join_finished.0xb5bfc880 decorators.py:255 in _advance Starting test: "join_finished" Description: Test that joining a coroutine that has already been joined gives the same result as it did the first time. 1644.74ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: join_finished 1644.74ns INFO cocotb.regression regression.py:459 in _start_test Running test 56/92: consistent_join 1644.74ns INFO ..b.test.consistent_join.0xb5be87a8 decorators.py:255 in _advance Starting test: "consistent_join" Description: Test that joining a coroutine returns the finished value 1702.74ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: consistent_join 1702.74ns INFO cocotb.regression regression.py:459 in _start_test Running test 57/92: test_kill_twice 1702.74ns INFO ..b.test.test_kill_twice.0xb5bfc9e8 decorators.py:255 in _advance Starting test: "test_kill_twice" Description: Test that killing a coroutine that has already been killed does not crash 1702.74ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_kill_twice 1702.74ns INFO cocotb.regression regression.py:459 in _start_test Running test 58/92: test_join_identity 1702.74ns INFO ..est.test_join_identity.0xb5be8ca0 decorators.py:255 in _advance Starting test: "test_join_identity" Description: Test that Join() returns the same object each time 1702.75ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_join_identity 1702.75ns INFO cocotb.regression regression.py:459 in _start_test Running test 59/92: test_trigger_with_failing_prime 1702.75ns INFO ..ger_with_failing_prime.0xb5bfcd78 decorators.py:255 in _advance Starting test: "test_trigger_with_failing_prime" Description: Test that a trigger failing to prime throws 1702.75ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_trigger_with_failing_prime 1702.75ns INFO cocotb.regression regression.py:459 in _start_test Running test 60/92: test_stack_overflow 1702.75ns INFO ..st.test_stack_overflow.0xb5be87a8 decorators.py:255 in _advance Starting test: "test_stack_overflow" Description: Test against stack overflows when starting many coroutines that terminate before passing control to the simulator. 1702.85ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_stack_overflow 1702.85ns INFO cocotb.regression regression.py:459 in _start_test Running test 61/92: test_kill_coroutine_waiting_on_the_same_trigger 1702.85ns INFO ..ng_on_the_same_trigger.0xb5bfcd00 decorators.py:255 in _advance Starting test: "test_kill_coroutine_waiting_on_the_same_trigger" Description: None 1702.85ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_kill_coroutine_waiting_on_the_same_trigger 1702.85ns INFO cocotb.regression regression.py:459 in _start_test Running test 62/92: test_nulltrigger_reschedule 1702.85ns INFO ..nulltrigger_reschedule.0xb5bfce20 decorators.py:255 in _advance Starting test: "test_nulltrigger_reschedule" Description: Test that NullTrigger doesn't immediately reschedule the waiting coroutine. The NullTrigger will be added to the end of the list of pending triggers. 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 0, last fork was None 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 0, last fork was 0 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 0, last fork was 1 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 0, last fork was 2 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 1, last fork was 3 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 1, last fork was 0 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 1, last fork was 1 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 1, last fork was 2 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 2, last fork was 3 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 2, last fork was 0 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 2, last fork was 1 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 2, last fork was 2 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 3, last fork was 3 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 3, last fork was 0 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 3, last fork was 1 1702.85ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 3, last fork was 2 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 0, last fork was 3 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 0, last fork was 0 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 0, last fork was 1 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 0, last fork was 2 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 1, last fork was 3 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 1, last fork was 0 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 1, last fork was 1 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 1, last fork was 2 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 2, last fork was 3 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 2, last fork was 0 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 2, last fork was 1 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 2, last fork was 2 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 0, iteration 3, last fork was 3 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 1, iteration 3, last fork was 0 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 2, iteration 3, last fork was 1 1702.86ns INFO cocotb test_scheduler.py:225 in reschedule Fork 3, iteration 3, last fork was 2 1702.86ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_nulltrigger_reschedule 1702.86ns INFO cocotb.regression regression.py:459 in _start_test Running test 63/92: test_event_set_schedule 1702.86ns INFO ..est_event_set_schedule.0xb5bfcf88 decorators.py:255 in _advance Starting test: "test_event_set_schedule" Description: Test that Event.set() doesn't cause an immediate reschedule. The coroutine waiting with Event.wait() will be woken after the current coroutine awaits a trigger. 1702.86ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_event_set_schedule 1702.86ns INFO cocotb.regression regression.py:459 in _start_test Running test 64/92: test_last_scheduled_write_wins 1702.86ns INFO ..t_scheduled_write_wins.0xb5bfce20 decorators.py:255 in _advance Starting test: "test_last_scheduled_write_wins" Description: Test that the last scheduled write for a signal handle is the value that is written. 1702.86ns INFO cocotb.sample_module test_scheduler.py:279 in first scheduling stream_in_data <= 1 1702.86ns INFO cocotb.sample_module test_scheduler.py:287 in second scheduling stream_in_data <= 2 1702.86ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_last_scheduled_write_wins 1702.86ns INFO cocotb.regression regression.py:459 in _start_test Running test 65/92: test_clock_with_units 1702.86ns INFO ...test_clock_with_units.0xb5bfcee0 decorators.py:255 in _advance Starting test: "test_clock_with_units" Description: None 1702.86ns INFO cocotb.sample_module test_clock.py:23 in test_clock_with_units Created clock >Clock(1.0 MHz)< 1702.86ns INFO cocotb.sample_module test_clock.py:28 in test_clock_with_units Created clock >Clock(250.0 MHz)< 3710.86ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_clock_with_units 3710.86ns INFO cocotb.regression regression.py:459 in _start_test Running test 66/92: test_anternal_clock 3710.86ns INFO ..st.test_anternal_clock.0xb5bfcda8 decorators.py:255 in _advance Starting test: "test_anternal_clock" Description: Test ability to yield on an external non cocotb coroutine decorated function 3720.86ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_anternal_clock 3720.86ns INFO cocotb.regression regression.py:459 in _start_test Running test 67/92: test_rising_edge 3720.86ns INFO ...test.test_rising_edge.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_rising_edge" Description: Test that a rising edge can be yielded on 3720.86ns INFO cocotb.sample_module ..t_edge_triggers.py:32 in do_single_edge_check Value of sample_module.clk is 0 3720.87ns INFO cocotb.sample_module ..t_edge_triggers.py:40 in do_single_edge_check Value of sample_module.clk is 1 3720.87ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_rising_edge 3720.87ns INFO cocotb.regression regression.py:459 in _start_test Running test 68/92: test_falling_edge 3720.87ns INFO ..test.test_falling_edge.0xb5bfce38 decorators.py:255 in _advance Starting test: "test_falling_edge" Description: Test that a falling edge can be yielded on 3720.88ns INFO cocotb.sample_module ..t_edge_triggers.py:32 in do_single_edge_check Value of sample_module.clk is 1 3720.89ns INFO cocotb.sample_module ..t_edge_triggers.py:40 in do_single_edge_check Value of sample_module.clk is 0 3720.89ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_falling_edge 3720.89ns INFO cocotb.regression regression.py:459 in _start_test Running test 69/92: test_either_edge 3720.89ns INFO ...test.test_either_edge.0xb5be8028 decorators.py:255 in _advance Starting test: "test_either_edge" Description: Test that either edge can be triggered on 3720.94ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_either_edge 3720.94ns INFO cocotb.regression regression.py:459 in _start_test Running test 70/92: test_fork_and_monitor 3720.94ns INFO ...test_fork_and_monitor.0xb5be8ca0 decorators.py:255 in _advance Starting test: "test_fork_and_monitor" Description: None 3721.94ns INFO cocotb.clk ..t_edge_triggers.py:23 in count_edges_cycles Rising edge 0 detected 3721.95ns INFO cocotb.sample_module ..t_edge_triggers.py:126 in test_fork_and_monitor Count 0: Task still running 3722.94ns INFO cocotb.clk ..t_edge_triggers.py:23 in count_edges_cycles Rising edge 1 detected 3722.96ns INFO cocotb.sample_module ..t_edge_triggers.py:126 in test_fork_and_monitor Count 1: Task still running 3723.94ns INFO cocotb.clk ..t_edge_triggers.py:23 in count_edges_cycles Rising edge 2 detected 3723.97ns INFO cocotb.sample_module ..t_edge_triggers.py:126 in test_fork_and_monitor Count 2: Task still running 3724.94ns INFO cocotb.clk ..t_edge_triggers.py:23 in count_edges_cycles Rising edge 3 detected 3724.98ns INFO cocotb.sample_module ..t_edge_triggers.py:126 in test_fork_and_monitor Count 3: Task still running 3725.94ns INFO cocotb.clk ..t_edge_triggers.py:23 in count_edges_cycles Rising edge 4 detected 3725.99ns INFO cocotb.sample_module ..t_edge_triggers.py:126 in test_fork_and_monitor Count 4: Task still running 3726.94ns INFO cocotb.clk ..t_edge_triggers.py:23 in count_edges_cycles Rising edge 5 detected 3726.94ns INFO cocotb.clk ..t_edge_triggers.py:24 in count_edges_cycles Finished, returning 6 3726.94ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_fork_and_monitor 3726.94ns INFO cocotb.regression regression.py:459 in _start_test Running test 71/92: test_edge_count 3726.94ns INFO ..b.test.test_edge_count.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_edge_count" Description: Count the number of edges is as expected 3728.04ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_edge_count 3728.04ns INFO cocotb.regression regression.py:459 in _start_test Running test 72/92: test_edge_identity 3728.04ns INFO ..est.test_edge_identity.0xb5d31070 decorators.py:255 in _advance Starting test: "test_edge_identity" Description: Test that Edge triggers returns the same object each time 3728.04ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_edge_identity 3728.04ns INFO cocotb.regression regression.py:459 in _start_test Running test 73/92: test_singleton_isinstance 3728.04ns INFO ..t_singleton_isinstance.0xb5bfc148 decorators.py:255 in _advance Starting test: "test_singleton_isinstance" Description: Test that the result of trigger expression have a predictable type 3728.04ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_singleton_isinstance 3728.04ns INFO cocotb.regression regression.py:459 in _start_test Running test 74/92: test_clock_cycles 3728.04ns INFO ..test.test_clock_cycles.0xb5bdad60 decorators.py:255 in _advance Starting test: "test_clock_cycles" Description: Test the ClockCycles Trigger 3728.14ns INFO cocotb.sample_module ..t_edge_triggers.py:220 in test_clock_cycles After one edge 3729.14ns INFO cocotb.sample_module ..t_edge_triggers.py:224 in test_clock_cycles After 10 edges 3729.14ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_clock_cycles 3729.14ns INFO cocotb.regression regression.py:459 in _start_test Running test 75/92: test_clock_cycles_forked 3729.14ns INFO ..st_clock_cycles_forked.0xb5c017c0 decorators.py:255 in _advance Starting test: "test_clock_cycles_forked" Description: Test that ClockCycles can be used in forked coroutines 3730.15ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_clock_cycles_forked 3730.15ns INFO cocotb.regression regression.py:459 in _start_test Running test 76/92: test_annotated_async_from_coro 3730.15ns INFO ..otated_async_from_coro.0xb5bfc148 decorators.py:255 in _advance Starting test: "test_annotated_async_from_coro" Description: Test that normal coroutines are able to call async functions annotated with `@cocotb.coroutine` 3730.15ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_annotated_async_from_coro 3730.15ns INFO cocotb.regression regression.py:459 in _start_test Running test 77/92: test_annotated_async_from_async 3730.15ns INFO ..tated_async_from_async.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_annotated_async_from_async" Description: Test that async coroutines are able to call themselves 3730.15ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_annotated_async_from_async 3730.15ns INFO cocotb.regression regression.py:459 in _start_test Running test 78/92: test_async_from_async 3730.15ns INFO ...test_async_from_async.0xb5d31070 decorators.py:255 in _advance Starting test: "test_async_from_async" Description: Test that async coroutines are able to call raw async functions 3730.16ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_async_from_async 3730.16ns INFO cocotb.regression regression.py:459 in _start_test Running test 79/92: test_coro_from_async 3730.16ns INFO ..t.test_coro_from_async.0xb5be8ca0 decorators.py:255 in _advance Starting test: "test_coro_from_async" Description: Test that async coroutines are able to call regular ones 3730.16ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_coro_from_async 3730.16ns INFO cocotb.regression regression.py:459 in _start_test Running test 80/92: test_trigger_await_gives_self 3730.16ns INFO ..igger_await_gives_self.0xb5bfc148 decorators.py:255 in _advance Starting test: "test_trigger_await_gives_self" Description: Test that await returns the trigger itself for triggers 3730.16ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_trigger_await_gives_self 3730.16ns INFO cocotb.regression regression.py:459 in _start_test Running test 81/92: test_await_causes_start 3730.16ns INFO ..est_await_causes_start.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_await_causes_start" Description: Test that an annotated async coroutine gets marked as started 3730.16ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_await_causes_start 3730.16ns INFO cocotb.regression regression.py:459 in _start_test Running test 82/92: test_undecorated_coroutine_fork 3730.16ns INFO ..corated_coroutine_fork.0xb5c01b38 decorators.py:255 in _advance Starting test: "test_undecorated_coroutine_fork" Description: None 3731.16ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_undecorated_coroutine_fork 3731.16ns INFO cocotb.regression regression.py:459 in _start_test Running test 83/92: test_undecorated_coroutine_yield 3731.16ns INFO ..orated_coroutine_yield.0xb5c01b68 decorators.py:255 in _advance Starting test: "test_undecorated_coroutine_yield" Description: None 3732.16ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_undecorated_coroutine_yield 3732.16ns INFO cocotb.regression regression.py:459 in _start_test Running test 84/92: test_lessthan_raises_error 3732.16ns INFO .._lessthan_raises_error.0xb5bfc148 decorators.py:255 in _advance Starting test: "test_lessthan_raises_error" Description: Test that trying to use <= as if it were a comparison produces an error 3732.16ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_lessthan_raises_error 3732.16ns INFO cocotb.regression regression.py:459 in _start_test Running test 85/92: test_bad_attr 3732.16ns INFO ..otb.test.test_bad_attr.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_bad_attr" Description: None 3732.17ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_bad_attr 3732.17ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 86/92: test_string_handle_takes_bytes 3732.17ns INFO cocotb.regression regression.py:459 in _start_test Running test 87/92: test_integer 3732.17ns INFO cocotb.test.test_integer.0xb5d31070 decorators.py:255 in _advance Starting test: "test_integer" Description: Test access to integers 3732.18ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_integer (result was AttributeError) 3732.18ns INFO cocotb.regression regression.py:459 in _start_test Running test 88/92: test_real_assign_double 3732.18ns INFO ..est_real_assign_double.0xb5be8ca0 decorators.py:255 in _advance Starting test: "test_real_assign_double" Description: Assign a random floating point value, read it back from the DUT and check it matches what we assigned 3732.18ns INFO cocotb.test test_handle.py:100 in test_real_assign_double Setting the value 7.92283e+305 3732.18ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_real_assign_double (result was AttributeError) 3732.18ns INFO cocotb.regression regression.py:459 in _start_test Running test 89/92: test_real_assign_int 3732.18ns INFO ..t.test_real_assign_int.0xb5bfc148 decorators.py:255 in _advance Starting test: "test_real_assign_int" Description: Assign a random integer value to ensure we can write types convertible to int, read it back from the DUT and check it matches what we assigned. 3732.18ns INFO cocotb.test test_handle.py:118 in test_real_assign_int Setting the value 1969738191 3732.18ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: test_real_assign_int (result was AttributeError) 3732.18ns INFO cocotb.regression regression.py:459 in _start_test Running test 90/92: test_access_underscore_name 3732.18ns INFO ..access_underscore_name.0xb5bdada8 decorators.py:255 in _advance Starting test: "test_access_underscore_name" Description: Test accessing HDL name starting with an underscore 3735.18ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_access_underscore_name 3735.18ns INFO cocotb.regression regression.py:459 in _start_test Running test 91/92: test_logging_with_args 3735.18ns INFO ..test_logging_with_args.0xb5d312c8 decorators.py:255 in _advance Starting test: "test_logging_with_args" Description: None 3735.18ns INFO cocotb.sample_module test_logging.py:33 in test_logging_with_args __str__ called 1 time(s) 3735.18ns INFO cocotb test_logging.py:39 in test_logging_with_args __str__ called 2 time(s) 3735.18ns INFO cocotb.sample_module test_logging.py:42 in test_logging_with_args No substitution 3735.18ns WARNING cocotb.sample_module test_logging.py:44 in test_logging_with_args Testing multiple line message 3735.28ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_logging_with_args 3735.28ns INFO cocotb.regression regression.py:459 in _start_test Running test 92/92: test_logging_default_config 3735.28ns INFO ..logging_default_config.0xb5be8ca0 decorators.py:255 in _advance Starting test: "test_logging_default_config" Description: None 3735.28ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_logging_default_config 3735.28ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 92 tests (2 skipped) 3735.28ns INFO cocotb.regression regression.py:548 in _log_test_summary **************************************************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** **************************************************************************************************************************** ** test_deprecated.test_returnvalue_deprecated PASS 1.00 0.00 673.38 ** ** test_deprecated.test_unicode_handle_assignment_deprecated N/A 0.00 0.00 nan ** ** test_deprecated.test_convert_handle_to_string_deprecated PASS 1.00 0.00 605.06 ** ** test_deprecated.test_create_error_deprecated PASS 0.00 0.00 1.35 ** ** test_deprecated.test_raise_error_deprecated PASS 0.00 0.00 1.27 ** ** test_doctests.test_utils PASS 0.00 0.01 0.07 ** ** test_doctests.test_binary PASS 0.00 0.03 0.03 ** ** test_synchronization_primitives.test_trigger_lock PASS 80.00 0.00 45733.75 ** ** test_synchronization_primitives.test_except_lock PASS 0.00 0.00 0.87 ** ** test_concurrency_primitives.test_yield_list_stale PASS 0.00 0.00 1.84 ** ** test_concurrency_primitives.test_nested_first PASS 0.00 0.00 1.98 ** ** test_concurrency_primitives.test_first_does_not_kill PASS 3.00 0.00 2579.85 ** ** test_concurrency_primitives.test_exceptions_first PASS 0.01 0.01 1.65 ** ** test_concurrency_primitives.test_combine PASS 0.03 0.00 22.50 ** ** test_concurrency_primitives.test_event_is_set PASS 0.00 0.00 2.69 ** ** test_tests.test_syntax_error PASS 1.00 0.00 352.49 ** ** test_tests.test_tests_are_tests PASS 0.00 0.00 4.02 ** ** test_tests.test_async_test_can_fail PASS 0.00 0.00 3.94 ** ** test_tests.test_immediate_test PASS 0.00 0.00 2.48 ** ** test_tests.test_assertion_is_failure PASS 0.00 0.00 4.13 ** ** test_tests.test_expect_particular_exception PASS 0.00 0.00 4.08 ** ** test_tests.test_expect_exception_list PASS 0.00 0.00 4.15 ** ** test_tests.test_timeout_testdec_fail PASS 1.00 0.00 999.88 ** ** test_tests.test_timeout_testdec_pass PASS 10.00 0.00 10421.67 ** ** test_tests.test_timeout_testdec_simultaneous PASS 1.00 0.00 709.33 ** ** test_tests.test_ordering_3 PASS 0.00 0.00 2.76 ** ** test_tests.test_ordering_2 PASS 0.00 0.00 2.78 ** ** test_tests.test_ordering_1 PASS 0.00 0.00 2.76 ** ** test_generator_coroutines.test_not_a_coroutine PASS 0.00 0.00 nan ** ** test_generator_coroutines.test_function_not_a_coroutine PASS 0.50 0.00 1082.05 ** ** test_generator_coroutines.test_function_not_decorated PASS 0.00 0.00 1.90 ** ** test_generator_coroutines.test_function_not_decorated_fork PASS 1.00 0.00 1805.03 ** ** test_generator_coroutines.test_adding_a_coroutine_without_starting PASS 0.10 0.00 206.85 ** ** test_generator_coroutines.test_yield_list PASS 11.00 0.00 3352.58 ** ** test_generator_coroutines.test_coroutine_syntax_error PASS 1.10 0.00 410.34 ** ** test_generator_coroutines.test_fork_syntax_error PASS 1.10 0.00 363.90 ** ** test_generator_coroutines.test_coroutine_return PASS 0.00 0.00 1.94 ** ** test_generator_coroutines.test_immediate_coro PASS 0.00 0.00 1.49 ** ** test_generator_coroutines.test_exceptions_direct PASS 0.01 0.00 3.59 ** ** test_generator_coroutines.test_exceptions_forked PASS 0.01 0.00 3.95 ** ** test_timing_triggers.test_function_reentrant_clock PASS 2.00 0.00 549.48 ** ** test_timing_triggers.test_timer_with_units PASS 1004.51 0.00 877390.40 ** ** test_timing_triggers.test_timer_with_rational_units PASS 2.00 0.00 2590.37 ** ** test_timing_triggers.test_readwrite_in_readonly PASS 10.00 0.01 947.66 ** ** test_timing_triggers.test_cached_write_in_readonly PASS 0.10 0.00 61.41 ** ** test_timing_triggers.test_afterdelay_in_readonly PASS 1.00 0.00 237.23 ** ** test_timing_triggers.test_afterdelay_in_readonly_valid PASS 0.00 0.00 1.41 ** ** test_timing_triggers.test_writes_have_taken_effect_after_readwrite PASS 0.00 0.00 1.04 ** ** test_timing_triggers.test_timeout_func_fail PASS 1.00 0.00 1015.85 ** ** test_timing_triggers.test_timeout_func_pass PASS 10.00 0.00 10568.72 ** ** test_timing_triggers.test_readwrite PASS 0.00 0.00 2.68 ** ** test_timing_triggers.test_singleton_isinstance PASS 0.00 0.00 2.66 ** ** test_scheduler.test_coroutine_kill PASS 1.20 0.00 865.97 ** ** test_scheduler.test_coroutine_close_down PASS 500.00 1.42 351.71 ** ** test_scheduler.join_finished PASS 0.00 0.00 3.35 ** ** test_scheduler.consistent_join PASS 58.00 0.01 5186.85 ** ** test_scheduler.test_kill_twice PASS 0.00 0.00 4.38 ** ** test_scheduler.test_join_identity PASS 0.00 0.00 2.97 ** ** test_scheduler.test_trigger_with_failing_prime PASS 0.00 0.00 4.29 ** ** test_scheduler.test_stack_overflow PASS 0.10 0.97 0.10 ** ** test_scheduler.test_kill_coroutine_waiting_on_the_same_trigger PASS 0.00 0.00 3.43 ** ** test_scheduler.test_nulltrigger_reschedule PASS 0.00 0.01 0.25 ** ** test_scheduler.test_event_set_schedule PASS 0.00 0.00 2.14 ** ** test_scheduler.test_last_scheduled_write_wins PASS 0.00 0.00 1.08 ** ** test_clock.test_clock_with_units PASS 2008.00 0.00 614129.11 ** ** test_clock.test_anternal_clock PASS 10.00 0.04 250.79 ** ** test_edge_triggers.test_rising_edge PASS 0.01 0.00 6.11 ** ** test_edge_triggers.test_falling_edge PASS 0.01 0.00 6.33 ** ** test_edge_triggers.test_either_edge PASS 0.05 0.00 20.13 ** ** test_edge_triggers.test_fork_and_monitor PASS 6.00 0.01 745.82 ** ** test_edge_triggers.test_edge_count PASS 1.10 0.01 217.62 ** ** test_edge_triggers.test_edge_identity PASS 0.00 0.00 4.22 ** ** test_edge_triggers.test_singleton_isinstance PASS 0.00 0.00 4.72 ** ** test_edge_triggers.test_clock_cycles PASS 1.10 0.00 221.47 ** ** test_edge_triggers.test_clock_cycles_forked PASS 1.00 0.00 214.68 ** ** test_async_coroutines.test_annotated_async_from_coro PASS 0.00 0.00 4.53 ** ** test_async_coroutines.test_annotated_async_from_async PASS 0.00 0.00 4.29 ** ** test_async_coroutines.test_async_from_async PASS 0.00 0.00 6.26 ** ** test_async_coroutines.test_coro_from_async PASS 0.00 0.00 4.20 ** ** test_async_coroutines.test_trigger_await_gives_self PASS 0.00 0.00 4.76 ** ** test_async_coroutines.test_await_causes_start PASS 0.00 0.00 3.74 ** ** test_async_coroutines.test_undecorated_coroutine_fork PASS 1.00 0.00 2010.78 ** ** test_async_coroutines.test_undecorated_coroutine_yield PASS 1.00 0.00 1963.75 ** ** test_handle.test_lessthan_raises_error PASS 0.00 0.00 1.89 ** ** test_handle.test_bad_attr PASS 0.00 0.00 2.55 ** ** test_handle.test_string_handle_takes_bytes N/A 0.00 0.00 nan ** ** test_handle.test_integer PASS 0.01 0.00 17.63 ** ** test_handle.test_real_assign_double PASS 0.00 0.00 3.24 ** ** test_handle.test_real_assign_int PASS 0.00 0.00 3.52 ** ** test_handle.test_access_underscore_name PASS 3.00 0.00 2272.04 ** ** test_logging.test_logging_with_args PASS 0.10 0.00 81.59 ** ** test_logging.test_logging_default_config PASS 0.00 0.00 1.54 ** **************************************************************************************************************************** 3735.28ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 3735.28 NS ** ** REAL TIME : 2.77 S ** ** SIM / REAL TIME : 1346.73 NS/S ** ************************************************************************************* 3735.28ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_cocotb' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_cocotb' cd test_cases/test_compare && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_compare' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_compare' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s basic_hierarchy_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_compare/../../../tests/designs/basic_hierarchy_module/basic_hierarchy_module.v MODULE=test_compare TESTCASE= TOPLEVEL=basic_hierarchy_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237302 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_compare.test_compare_simhandlebase 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_compare.test_compare_nonhierarchy 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/2: test_compare_simhandlebase 0.00ns INFO .._compare_simhandlebase.0xb5d37070 decorators.py:255 in _advance Starting test: "test_compare_simhandlebase" Description: Test for SimHandleBase comparisons 0.07ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_compare_simhandlebase 0.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 2/2: test_compare_nonhierarchy 0.07ns INFO ..t_compare_nonhierarchy.0xb5d37a30 decorators.py:255 in _advance Starting test: "test_compare_nonhierarchy" Description: Test for NonHierarchyObject comparisons 0.17ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_compare_nonhierarchy 0.17ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 2 tests (0 skipped) 0.17ns INFO cocotb.regression regression.py:548 in _log_test_summary ************************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************************* ** test_compare.test_compare_simhandlebase PASS 0.07 0.00 16.45 ** ** test_compare.test_compare_nonhierarchy PASS 0.10 0.00 20.05 ** ************************************************************************************************* 0.17ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.17 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 11.19 NS/S ** ************************************************************************************* 0.17ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_compare' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_compare' cd test_cases/test_configuration && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_configuration' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_configuration' Skipping simulation as VHDL is not supported on simulator=icarus make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_configuration' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_configuration' cd test_cases/test_discovery && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_discovery' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_discovery' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_discovery/../../../tests/designs/sample_module/sample_module.sv MODULE=test_discovery TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237306 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.recursive_discover 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.discover_module_values 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.ipython_embed 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.ipython_embed_kernel 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.discover_value_not_in_dut 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_signal 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_single_bit 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_single_bit_assignment 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_single_bit_erroneous 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_integer 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_ulogic 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_constant_integer 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_string_vhdl 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_const_string_verilog 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_var_string_verilog 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_constant_boolean 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_boolean 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_internal_register_array 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.skip_a_test 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.access_gate 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.custom_type 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_discovery.type_check_verilog 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/22: recursive_discover 0.00ns INFO ..est.recursive_discover.0xb5d03148 decorators.py:255 in _advance Starting test: "recursive_discover" Description: Discover absolutely everything in the DUT 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found _underscore_name () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found and_output () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found clk () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found logic_a () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found stream_in_data () VCD info: dumpfile waveform.vcd opened for output. Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found stream_in_data_wide () 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 63 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 62 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 61 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 60 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 59 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 58 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 57 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 56 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 55 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 54 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 53 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 52 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 51 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 50 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 49 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 48 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 47 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 46 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 45 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 44 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 43 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 42 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 41 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 40 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 39 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 38 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 37 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 36 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 35 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 34 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 33 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 32 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data_wide). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found stream_in_valid () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found stream_out_ready () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found logic_b () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found logic_c () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found stream_in_ready () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found stream_out_data_comb () 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found stream_out_data_registered () 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_comb). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data_registered). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (temp). 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found temp () 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.00ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_0_to_3 () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_0_to_3[0] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_0_to_3[1] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_0_to_3[2] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_0_to_3[3] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[0] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[1] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[2] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[3] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[4] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[5] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[6] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_2d[7] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_3_downto_0 () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_3_downto_0[3] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_3_downto_0[2] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_3_downto_0[1] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_3_downto_0[0] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_4_to_7 () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_4_to_7[4] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_4_to_7[5] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_4_to_7[6] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_4_to_7[7] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_7_downto_4 () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_7_downto_4[7] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_7_downto_4[6] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_7_downto_4[5] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found array_7_downto_4[4] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found register_array () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found register_array[1] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found register_array[0] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found NUM_OF_MODULES () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found genblk1 () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found genblk1[0] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found idx () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found genblk1[1] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found idx () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found genblk1[2] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found idx () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found genblk1[3] () 0.00ns INFO cocotb.sample_module test_discovery.py:44 in _discover Found idx () 0.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: recursive_discover 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 2/22: discover_module_values 0.00ns INFO ..discover_module_values.0xb5d039e8 decorators.py:255 in _advance Starting test: "discover_module_values" Description: Discover everything in the DUT 0.00ns INFO cocotb._underscore_name test_discovery.py:55 in discover_module_values Found something: _underscore_name(GPI_NET) 0.00ns INFO cocotb.and_output test_discovery.py:55 in discover_module_values Found something: and_output(GPI_NET) 0.00ns INFO cocotb.clk test_discovery.py:55 in discover_module_values Found something: clk(GPI_NET) 0.00ns INFO cocotb.logic_a test_discovery.py:55 in discover_module_values Found something: logic_a(GPI_NET) 0.00ns INFO cocotb.stream_in_data test_discovery.py:55 in discover_module_values Found something: stream_in_data(GPI_NET) 0.00ns INFO cocotb.stream_in_data_wide test_discovery.py:55 in discover_module_values Found something: stream_in_data_wide(GPI_NET) 0.00ns INFO cocotb.stream_in_valid test_discovery.py:55 in discover_module_values Found something: stream_in_valid(GPI_NET) 0.00ns INFO cocotb.stream_out_ready test_discovery.py:55 in discover_module_values Found something: stream_out_ready(GPI_NET) 0.00ns INFO cocotb.logic_b test_discovery.py:55 in discover_module_values Found something: logic_b(GPI_REGISTER) 0.00ns INFO cocotb.logic_c test_discovery.py:55 in discover_module_values Found something: logic_c(GPI_REGISTER) 0.00ns INFO cocotb.stream_in_ready test_discovery.py:55 in discover_module_values Found something: stream_in_ready(GPI_REGISTER) 0.00ns INFO cocotb.stream_out_data_comb test_discovery.py:55 in discover_module_values Found something: stream_out_data_comb(GPI_REGISTER) 0.00ns INFO cocotb.stream_out_data_registered test_discovery.py:55 in discover_module_values Found something: stream_out_data_registered(GPI_REGISTER) 0.00ns INFO cocotb.temp test_discovery.py:55 in discover_module_values Found something: temp(GPI_REGISTER) 0.00ns INFO cocotb.array_0_to_3 test_discovery.py:55 in discover_module_values Found something: array_0_to_3(GPI_ARRAY) 0.00ns INFO cocotb.array_2d test_discovery.py:55 in discover_module_values Found something: array_2d(GPI_ARRAY) 0.00ns INFO cocotb.array_3_downto_0 test_discovery.py:55 in discover_module_values Found something: array_3_downto_0(GPI_ARRAY) 0.00ns INFO cocotb.array_4_to_7 test_discovery.py:55 in discover_module_values Found something: array_4_to_7(GPI_ARRAY) 0.00ns INFO cocotb.array_7_downto_4 test_discovery.py:55 in discover_module_values Found something: array_7_downto_4(GPI_ARRAY) 0.00ns INFO cocotb.register_array test_discovery.py:55 in discover_module_values Found something: register_array(GPI_ARRAY) 0.00ns INFO cocotb.NUM_OF_MODULES test_discovery.py:55 in discover_module_values Found something: NUM_OF_MODULES(GPI_PARAMETER) 0.00ns INFO cocotb.genblk1 test_discovery.py:55 in discover_module_values Found something: genblk1(GPI_GENARRAY) 0.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: discover_module_values 0.00ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 3/22: ipython_embed 0.00ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 4/22: ipython_embed_kernel 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 5/22: discover_value_not_in_dut 0.00ns INFO ..cover_value_not_in_dut.0xb5d03a78 decorators.py:255 in _advance Starting test: "discover_value_not_in_dut" Description: Try and get a value from the DUT that is not there 0.00ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: discover_value_not_in_dut (result was AttributeError) 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 6/22: access_signal 0.00ns INFO ..otb.test.access_signal.0xb5d03460 decorators.py:255 in _advance Starting test: "access_signal" Description: Access a signal using the assignment mechanism 0.00ns INFO cocotb.test test_discovery.py:101 in access_signal Signal is 0.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: access_signal 0.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 7/22: access_single_bit 0.01ns INFO ..test.access_single_bit.0xb5d039e8 decorators.py:255 in _advance Starting test: "access_single_bit" Description: Access a single bit in a vector of the DUT 0.02ns INFO cocotb.sample_module test_discovery.py:118 in access_single_bit sample_module.stream_in_data = 8 bits 0.02ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.03ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: access_single_bit (result was IndexError) 0.03ns INFO cocotb.regression regression.py:459 in _start_test Running test 8/22: access_single_bit_assignment 0.03ns INFO .._single_bit_assignment.0xb5d03a78 decorators.py:255 in _advance Starting test: "access_single_bit_assignment" Description: Access a single bit in a vector of the DUT using the assignment mechanism 0.04ns INFO cocotb.sample_module test_discovery.py:136 in access_single_bit_assignment sample_module.stream_in_data = 8 bits Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.04ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.04ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: access_single_bit_assignment (result was IndexError) 0.04ns INFO cocotb.regression regression.py:459 in _start_test Running test 9/22: access_single_bit_erroneous 0.04ns INFO ..s_single_bit_erroneous.0xb5d03148 decorators.py:255 in _advance Starting test: "access_single_bit_erroneous" Description: Access a non-existent single bit 0.05ns INFO cocotb.sample_module test_discovery.py:150 in access_single_bit_erroneous sample_module.stream_in_data = 8 bits 0.05ns ERROR cocotb.gpi VpiImpl.cpp:356 in native_check_create Invalid Index - Index 12 is not in the range of [7:0] 0.05ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.05ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: access_single_bit_erroneous (result was IndexError) 0.05ns INFO cocotb.regression regression.py:459 in _start_test Running test 10/22: access_integer 0.05ns INFO ..tb.test.access_integer.0xb5d03a00 decorators.py:255 in _advance Starting test: "access_integer" Description: Integer should show as an IntegerObject 0.06ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: access_integer (result was AttributeError) 0.06ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 11/22: access_ulogic 0.06ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 12/22: access_constant_integer 0.06ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 13/22: access_string_vhdl 0.06ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 14/22: access_const_string_verilog 0.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 15/22: access_var_string_verilog 0.06ns INFO ..ess_var_string_verilog.0xb5d03328 decorators.py:255 in _advance Starting test: "access_var_string_verilog" Description: Access to a var Verilog string. 0.06ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: access_var_string_verilog (result was AttributeError) 0.06ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 16/22: access_constant_boolean 0.06ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 17/22: access_boolean 0.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 18/22: access_internal_register_array 0.06ns INFO ..nternal_register_array.0xb5d03460 decorators.py:255 in _advance Starting test: "access_internal_register_array" Description: Test access to an internal register array 0.06ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: access_internal_register_array 0.06ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 19/22: skip_a_test 0.06ns INFO cocotb.regression regression.py:459 in _start_test Running test 20/22: access_gate 0.06ns INFO cocotb.test.access_gate.0xb5d039e8 decorators.py:255 in _advance Starting test: "access_gate" Description: Test access to a gate Object 0.07ns INFO cocotb.regression regression.py:390 in _score_test Test errored as expected: access_gate (result was AttributeError) 0.07ns INFO cocotb.regression regression.py:316 in _init_test Skipping test 21/22: custom_type 0.07ns INFO cocotb.regression regression.py:459 in _start_test Running test 22/22: type_check_verilog 0.07ns INFO ..est.type_check_verilog.0xb5d03a78 decorators.py:255 in _advance Starting test: "type_check_verilog" Description: Test if types are recognized 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle stream_in_ready(GPI_REGISTER) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle register_array(GPI_ARRAY) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle NUM_OF_MODULES(GPI_PARAMETER) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle temp(GPI_REGISTER) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle and_output(GPI_NET) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle stream_in_data(GPI_NET) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle logic_b(GPI_REGISTER) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle logic_c(GPI_REGISTER) 0.07ns INFO cocotb.test test_discovery.py:478 in type_check_verilog Handle logic_a(GPI_NET) 0.07ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: type_check_verilog 0.07ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 22 tests (10 skipped) 0.07ns INFO cocotb.regression regression.py:548 in _log_test_summary ******************************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************************************* ** test_discovery.recursive_discover PASS 0.00 0.03 0.03 ** ** test_discovery.discover_module_values PASS 0.00 0.00 0.32 ** ** test_discovery.ipython_embed N/A 0.00 0.00 nan ** ** test_discovery.ipython_embed_kernel N/A 0.00 0.00 nan ** ** test_discovery.discover_value_not_in_dut PASS 0.00 0.00 2.40 ** ** test_discovery.access_signal PASS 0.01 0.00 16.79 ** ** test_discovery.access_single_bit PASS 0.01 0.00 9.79 ** ** test_discovery.access_single_bit_assignment PASS 0.01 0.00 11.44 ** ** test_discovery.access_single_bit_erroneous PASS 0.01 0.00 13.91 ** ** test_discovery.access_integer PASS 0.01 0.00 27.25 ** ** test_discovery.access_ulogic N/A 0.00 0.00 nan ** ** test_discovery.access_constant_integer N/A 0.00 0.00 nan ** ** test_discovery.access_string_vhdl N/A 0.00 0.00 nan ** ** test_discovery.access_const_string_verilog N/A 0.00 0.00 nan ** ** test_discovery.access_var_string_verilog PASS 0.00 0.00 2.87 ** ** test_discovery.access_constant_boolean N/A 0.00 0.00 nan ** ** test_discovery.access_boolean N/A 0.00 0.00 nan ** ** test_discovery.access_internal_register_array PASS 0.00 0.00 4.47 ** ** test_discovery.skip_a_test N/A 0.00 0.00 nan ** ** test_discovery.access_gate PASS 0.01 0.00 19.44 ** ** test_discovery.custom_type N/A 0.00 0.00 nan ** ** test_discovery.type_check_verilog PASS 0.00 0.00 1.39 ** ******************************************************************************************************* 0.07ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.07 NS ** ** REAL TIME : 0.07 S ** ** SIM / REAL TIME : 1.09 NS/S ** ************************************************************************************* 0.07ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_discovery' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_discovery' cd test_cases/test_exit_error && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error' if make all; then echo "Expected this to fail"; false; else echo "Failed as expected"; fi make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error' make results.xml make[5]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error/../../../tests/designs/sample_module/sample_module.sv MODULE=test_exit TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237310 0.00ns CRITICAL cocotb.regression regression.py:177 in _discover_tests Failed to import module test_exit: invalid syntax (test_exit.py, line 9) 0.00ns INFO cocotb.regression regression.py:178 in _discover_tests MODULE variable was "test_exit" 0.00ns INFO cocotb.regression regression.py:179 in _discover_tests Traceback: 0.00ns INFO cocotb.regression regression.py:180 in _discover_tests Traceback (most recent call last): File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/regression.py", line 175, in _discover_tests module = _my_import(module_name) File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/regression.py", line 69, in _my_import mod = __import__(name) File "/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error/test_exit.py", line 9 yield Timer(100)a # noqa ^ SyntaxError: invalid syntax 0.00ns ERROR cocotb.gpi gpi_embed.cpp:314 in embed_sim_init cocotb initialization failed - exiting 0.00ns ERROR cocotb.scheduler __init__.py:269 in _sim_event Failing test at simulator request before test run completion: Simulator shutdown prematurely Traceback (most recent call last): File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/__init__.py", line 246, in _initialise_testbench regression_manager = RegressionManager.from_discovery(dut) File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/regression.py", line 154, in from_discovery return cls(dut, tests, hooks) File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/regression.py", line 126, in __init__ for test in tests: File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/regression.py", line 175, in _discover_tests module = _my_import(module_name) File "/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/regression.py", line 69, in _my_import mod = __import__(name) File "/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error/test_exit.py", line 9 yield Timer(100)a # noqa ^ SyntaxError: invalid syntax Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> ERROR: results.xml was not written by the simulation! make[5]: *** [/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/simulators/Makefile.icarus:69: results.xml] Error 1 make[5]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error' make[4]: *** [/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/share/makefiles/Makefile.inc:40: sim] Error 2 make[4]: Target 'all' not remade because of errors. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error' Failed as expected make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_exit_error' cd test_cases/test_external && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_external' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_external' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_external/../../../tests/designs/sample_module/sample_module.sv MODULE=test_external TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237313 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_time_in_external 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_time_in_function 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_external_call_return 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_consecutive_externals 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_external_from_readonly 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_function_from_readonly 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_function_that_awaits 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_await_after_function 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_external_from_fork 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_external_raised_exception 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_external_returns_exception 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_function_raised_exception 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_function_returns_exception 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_function_from_weird_thread_fails 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_external.test_function_called_in_parallel 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/15: test_time_in_external 0.00ns INFO ...test_time_in_external.0xb5dac070 decorators.py:255 in _advance Starting test: "test_time_in_external" Description: Test that the simulation time does not advance if the wrapped external routine does not call @function 10.00ns INFO cocotb.sample_module test_external.py:82 in test_time_in_external Time at start of test = 10 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 0 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 1 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 2 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 3 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 4 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 5 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 6 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 7 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 8 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 9 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 11 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 12 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 13 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 14 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 15 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 16 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 17 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 18 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 19 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 20 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 21 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 22 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 23 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 24 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 25 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 26 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 27 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 28 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 29 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 30 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 31 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 32 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 33 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 34 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 35 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 36 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 37 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 38 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 39 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 40 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 41 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 42 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 43 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 44 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 45 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 46 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 47 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 48 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 49 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 50 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 51 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 52 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 53 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 54 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 55 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 56 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 57 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 58 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 59 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 60 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 61 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 62 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 63 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 64 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 65 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 66 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 67 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 68 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 69 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 70 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 71 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 72 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 73 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 74 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 75 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 76 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 77 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 78 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 79 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 80 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 81 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 82 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 83 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 84 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 85 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 86 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 87 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 88 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 89 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 90 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 91 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 92 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 93 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 94 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 95 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 96 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 97 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 98 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 10.00ns INFO cocotb.sample_module test_external.py:84 in test_time_in_external Loop call 99 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:67 in print_sim_time Time reported = 10 10.00ns INFO cocotb.sample_module test_external.py:71 in print_sim_time external function has ended 20.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_time_in_external 20.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 2/15: test_time_in_function 20.00ns INFO ...test_time_in_function.0xb5dac9e8 decorators.py:255 in _advance Starting test: "test_time_in_function" Description: Test that an @external function calling back into a cocotb @function takes the expected amount of time 30020.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_time_in_function 30020.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 3/15: test_external_call_return 30020.00ns INFO ..t_external_call_return.0xb5dc7d48 decorators.py:255 in _advance Starting test: "test_external_call_return" Description: Test ability to await an external function that is not a coroutine using @external 30020.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_external_call_return 30020.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 4/15: test_consecutive_externals 30020.00ns INFO .._consecutive_externals.0xb5dacf10 decorators.py:255 in _advance Starting test: "test_consecutive_externals" Description: Test that multiple @external functions can be called in the same test 30020.00ns INFO cocotb.sample_module test_external.py:148 in test_consecutive_externals First one completed 30020.00ns INFO cocotb.sample_module test_external.py:152 in test_consecutive_externals Second one completed 30020.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_consecutive_externals 30020.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 5/15: test_external_from_readonly 30020.00ns INFO ..external_from_readonly.0xb5daca30 decorators.py:255 in _advance Starting test: "test_external_from_readonly" Description: Test that @external functions that don't consume simulation time can be called from ReadOnly state 30020.00ns INFO cocotb.sample_module test_external.py:163 in test_external_from_readonly In readonly 30020.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_external_from_readonly 30020.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 6/15: test_function_from_readonly 30020.01ns INFO ..function_from_readonly.0xb5daca48 decorators.py:255 in _advance Starting test: "test_function_from_readonly" Description: Test that @external functions that call @functions that await Triggers can be called from ReadOnly state 30020.01ns INFO cocotb.sample_module test_external.py:177 in test_function_from_readonly In readonly 30221.01ns INFO cocotb.sample_module test_external.py:54 in await_two_clock_edges Returning from await_two_clock_edges 30221.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_from_readonly 30221.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 7/15: test_function_that_awaits 30221.01ns INFO ..t_function_that_awaits.0xb5dc72e0 decorators.py:255 in _advance Starting test: "test_function_that_awaits" Description: Test that @external functions can call @function coroutines that awaits Triggers and return values back through to the test 30422.01ns INFO cocotb.sample_module test_external.py:54 in await_two_clock_edges Returning from await_two_clock_edges 30422.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_that_awaits 30422.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 8/15: test_await_after_function 30422.01ns INFO ..t_await_after_function.0xb5dace38 decorators.py:255 in _advance Starting test: "test_await_after_function" Description: Test that awaiting a Trigger works after returning from @external functions that call @functions that consume simulation time 30623.01ns INFO cocotb.sample_module test_external.py:54 in await_two_clock_edges Returning from await_two_clock_edges 30722.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_await_after_function 30722.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 9/15: test_external_from_fork 30722.01ns INFO ..est_external_from_fork.0xb5dc7e80 decorators.py:255 in _advance Starting test: "test_external_from_fork" Description: Test that @external functions work when awaited from a forked task 30923.01ns INFO cocotb.sample_module test_external.py:54 in await_two_clock_edges Returning from await_two_clock_edges 30923.01ns INFO cocotb.sample_module test_external.py:233 in test_external_from_fork Back from join 1 30923.01ns INFO cocotb.sample_module test_external.py:239 in test_external_from_fork Back from join 2 30923.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_external_from_fork 30923.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 10/15: test_external_raised_exception 30923.01ns INFO ..ernal_raised_exception.0xb5dc72e0 decorators.py:255 in _advance Starting test: "test_external_raised_exception" Description: Test that exceptions thrown by @external functions can be caught 30923.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_external_raised_exception 30923.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 11/15: test_external_returns_exception 30923.01ns INFO ..rnal_returns_exception.0xb5dacfe8 decorators.py:255 in _advance Starting test: "test_external_returns_exception" Description: Test that exceptions can be returned by @external functions 30923.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_external_returns_exception 30923.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 12/15: test_function_raised_exception 30923.01ns INFO ..ction_raised_exception.0xb5dac070 decorators.py:255 in _advance Starting test: "test_function_raised_exception" Description: Test that exceptions thrown by @function coroutines can be caught 30923.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_raised_exception 30923.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 13/15: test_function_returns_exception 30923.01ns INFO ..tion_returns_exception.0xb5dc72e0 decorators.py:255 in _advance Starting test: "test_function_returns_exception" Description: Test that exceptions can be returned by @function coroutines 30923.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_returns_exception 30923.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 14/15: test_function_from_weird_thread_fails 30923.01ns INFO ..rom_weird_thread_fails.0xb5cd7c88 decorators.py:255 in _advance Starting test: "test_function_from_weird_thread_fails" Description: Test that background threads caling a @function do not hang forever Exception ignored in: .func at 0xb5cdafa8> Traceback (most recent call last): File "/usr/lib/python3.9/warnings.py", line 506, in _warn_unawaited_coroutine warn(msg, category=RuntimeWarning, stacklevel=2, source=coro) RuntimeWarning: coroutine 'test_function_from_weird_thread_fails..func' was never awaited 30943.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_from_weird_thread_fails 30943.01ns INFO cocotb.regression regression.py:459 in _start_test Running test 15/15: test_function_called_in_parallel 30943.01ns INFO ..ion_called_in_parallel.0xb5cd7d30 decorators.py:255 in _advance Starting test: "test_function_called_in_parallel" Description: Test that the same `@function` can be called from two parallel background threads. 30944.01ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_function_called_in_parallel 30944.01ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 15 tests (0 skipped) 30944.01ns INFO cocotb.regression regression.py:548 in _log_test_summary ************************************************************************************************************* ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ************************************************************************************************************* ** test_external.test_time_in_external PASS 20.00 0.15 131.80 ** ** test_external.test_time_in_function PASS 30000.00 0.20 149066.68 ** ** test_external.test_external_call_return PASS 0.00 0.00 0.82 ** ** test_external.test_consecutive_externals PASS 0.00 0.00 0.69 ** ** test_external.test_external_from_readonly PASS 0.00 0.00 1.02 ** ** test_external.test_function_from_readonly PASS 201.00 0.00 71676.53 ** ** test_external.test_function_that_awaits PASS 201.00 0.00 81290.07 ** ** test_external.test_await_after_function PASS 300.00 0.00 102928.05 ** ** test_external.test_external_from_fork PASS 201.00 0.00 55471.73 ** ** test_external.test_external_raised_exception PASS 0.00 0.00 1.35 ** ** test_external.test_external_returns_exception PASS 0.00 0.00 1.15 ** ** test_external.test_function_raised_exception PASS 0.00 0.00 0.86 ** ** test_external.test_function_returns_exception PASS 0.00 0.00 0.87 ** ** test_external.test_function_from_weird_thread_fails PASS 20.00 0.00 8150.23 ** ** test_external.test_function_called_in_parallel PASS 1.00 0.00 405.10 ** ************************************************************************************************************* 30944.01ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 30944.01 NS ** ** REAL TIME : 0.40 S ** ** SIM / REAL TIME : 78317.77 NS/S ** ************************************************************************************* 30944.01ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> VCD info: dumpfile waveform.vcd opened for output. make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_external' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_external' cd test_cases/test_force_release && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_force_release' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_force_release' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_force_release/../../../tests/designs/sample_module/sample_module.sv MODULE=test_force_release TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237316 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_force_release.test_force_release 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: test_force_release 0.00ns INFO ..est.test_force_release.0xb5d30070 decorators.py:255 in _advance Starting test: "test_force_release" Description: Test force and release on simulation handles 20.00ns INFO cocotb.test ..t_force_release.py:21 in test_force_release dut.stream_in_data = 4 20.00ns INFO cocotb.test ..t_force_release.py:22 in test_force_release dut.stream_out_data_comb = 5 30.00ns INFO cocotb.test ..t_force_release.py:31 in test_force_release dut.stream_in_data = 3 30.00ns INFO cocotb.test ..t_force_release.py:32 in test_force_release dut.stream_out_data_comb = 3 30.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: test_force_release 30.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 30.00ns INFO cocotb.regression regression.py:548 in _log_test_summary *********************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *********************************************************************************************** ** test_force_release.test_force_release PASS 30.00 0.00 10509.76 ** *********************************************************************************************** 30.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 30.00 NS ** ** REAL TIME : 0.01 S ** ** SIM / REAL TIME : 5095.09 NS/S ** ************************************************************************************* 30.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_force_release' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_force_release' cd test_cases/test_iteration_mixedlang && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_mixedlang' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_mixedlang' Skipping simulation as VHDL is not supported on simulator=icarus make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_mixedlang' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_mixedlang' cd test_cases/test_iteration_verilog && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_verilog' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_verilog' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s endian_swapper_sv -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_verilog/../../../examples/endian_swapper/hdl/endian_swapper.sv MODULE=test_iteration_es TESTCASE= TOPLEVEL=endian_swapper_sv TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237320 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_iteration_es.recursive_discovery 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test test_iteration_es.dual_iteration 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/2: recursive_discovery 0.00ns INFO ..st.recursive_discovery.0xb5db4148 decorators.py:255 in _advance Starting test: "recursive_discovery" Description: Recursively discover every single object in the design 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.clk () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.csr_address () VCD info: dumpfile waveform.vcd opened for output. Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_address). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_address). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.csr_read () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.csr_write () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.csr_writedata () 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (csr_writedata). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.reset_n () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_in_data () 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 63 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 62 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 61 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 60 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 59 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 58 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 57 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 56 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 55 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 54 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 53 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 52 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 51 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 50 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 49 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 48 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 47 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 46 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 45 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 44 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 43 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 42 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 41 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 40 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 39 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 38 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 37 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 36 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 35 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 34 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 33 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 32 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_in_empty () Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiNet objects (stream_in_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_in_endofpacket () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_in_startofpacket () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_in_valid () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_out_ready () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.byteswapping () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.csr_readdata () 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.csr_readdatavalid () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.csr_waitrequest () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.flush_pipe () Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (csr_readdata). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.in_packet () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.packet_count () 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_in_ready () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_out_data () 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 63 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 62 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 61 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 60 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 59 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 58 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 57 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 56 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 55 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 54 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 53 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 52 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 51 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 50 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 49 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 48 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 47 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 46 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 45 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 44 via any registered implementation Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (packet_count). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 43 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 42 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 41 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 40 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 39 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 38 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 37 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 36 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 35 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 34 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 33 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 32 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 31 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 30 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 29 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 28 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 27 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 26 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 25 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 24 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 23 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 22 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 21 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 20 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 19 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 18 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 17 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 16 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 15 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 14 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 13 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 12 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 11 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 10 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 9 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 8 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 7 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 6 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 5 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 4 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 3 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_out_empty () 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 2 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 1 via any registered implementation 0.10ns WARNING cocotb.gpi GpiCommon.cpp:394 in gpi_get_handle_by_index Failed to find a handle at index 0 via any registered implementation 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_out_endofpacket () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_out_startofpacket () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.stream_out_valid () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.DATA_BYTES () 0.10ns INFO cocotb.test test_iteration_es.py:54 in dump_all_the_things Found endian_swapper_sv.byteswap () 0.10ns WARNING cocotb.gpi VpiCbHdl.cpp:682 in VpiIterator VPI: Implementation does not know how to iterate over vpiFunction(20) 0.10ns INFO cocotb.test test_iteration_es.py:58 in recursive_discovery Found a total of 27 things 0.10ns INFO cocotb.regression regression.py:365 in _score_test Test failed as expected: recursive_discovery (result was TestFailure) 0.10ns INFO cocotb.regression regression.py:459 in _start_test Running test 2/2: dual_iteration 0.10ns INFO ..tb.test.dual_iteration.0xb5db4700 decorators.py:255 in _advance Starting test: "dual_iteration" Description: None 0.10ns INFO cocotb.clk test_iteration_es.py:66 in iteration_loop Found something: clk(GPI_NET) 0.10ns INFO cocotb.clk test_iteration_es.py:66 in iteration_loop Found something: clk(GPI_NET) 0.10ns INFO cocotb.csr_address test_iteration_es.py:66 in iteration_loop Found something: csr_address(GPI_NET) 0.10ns INFO cocotb.csr_address test_iteration_es.py:66 in iteration_loop Found something: csr_address(GPI_NET) 0.10ns INFO cocotb.csr_read test_iteration_es.py:66 in iteration_loop Found something: csr_read(GPI_NET) 0.10ns INFO cocotb.csr_read test_iteration_es.py:66 in iteration_loop Found something: csr_read(GPI_NET) 0.10ns INFO cocotb.csr_write test_iteration_es.py:66 in iteration_loop Found something: csr_write(GPI_NET) 0.10ns INFO cocotb.csr_write test_iteration_es.py:66 in iteration_loop Found something: csr_write(GPI_NET) 0.10ns INFO cocotb.csr_writedata test_iteration_es.py:66 in iteration_loop Found something: csr_writedata(GPI_NET) Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_data). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_empty). Sorry: Icarus does not currently support vpi_get_handle_by_index() for vpiReg objects (stream_out_empty). 0.10ns INFO cocotb.csr_writedata test_iteration_es.py:66 in iteration_loop Found something: csr_writedata(GPI_NET) 0.11ns INFO cocotb.reset_n test_iteration_es.py:66 in iteration_loop Found something: reset_n(GPI_NET) 0.11ns INFO cocotb.reset_n test_iteration_es.py:66 in iteration_loop Found something: reset_n(GPI_NET) 0.11ns INFO cocotb.stream_in_data test_iteration_es.py:66 in iteration_loop Found something: stream_in_data(GPI_NET) 0.11ns INFO cocotb.stream_in_data test_iteration_es.py:66 in iteration_loop Found something: stream_in_data(GPI_NET) 0.11ns INFO cocotb.stream_in_empty test_iteration_es.py:66 in iteration_loop Found something: stream_in_empty(GPI_NET) 0.11ns INFO cocotb.stream_in_empty test_iteration_es.py:66 in iteration_loop Found something: stream_in_empty(GPI_NET) 0.11ns INFO cocotb.stream_in_endofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_in_endofpacket(GPI_NET) 0.11ns INFO cocotb.stream_in_endofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_in_endofpacket(GPI_NET) 0.11ns INFO cocotb.stream_in_startofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_in_startofpacket(GPI_NET) 0.11ns INFO cocotb.stream_in_startofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_in_startofpacket(GPI_NET) 0.11ns INFO cocotb.stream_in_valid test_iteration_es.py:66 in iteration_loop Found something: stream_in_valid(GPI_NET) 0.11ns INFO cocotb.stream_in_valid test_iteration_es.py:66 in iteration_loop Found something: stream_in_valid(GPI_NET) 0.11ns INFO cocotb.stream_out_ready test_iteration_es.py:66 in iteration_loop Found something: stream_out_ready(GPI_NET) 0.11ns INFO cocotb.stream_out_ready test_iteration_es.py:66 in iteration_loop Found something: stream_out_ready(GPI_NET) 0.11ns INFO cocotb.byteswapping test_iteration_es.py:66 in iteration_loop Found something: byteswapping(GPI_REGISTER) 0.11ns INFO cocotb.byteswapping test_iteration_es.py:66 in iteration_loop Found something: byteswapping(GPI_REGISTER) 0.11ns INFO cocotb.csr_readdata test_iteration_es.py:66 in iteration_loop Found something: csr_readdata(GPI_REGISTER) 0.11ns INFO cocotb.csr_readdata test_iteration_es.py:66 in iteration_loop Found something: csr_readdata(GPI_REGISTER) 0.12ns INFO cocotb.csr_readdatavalid test_iteration_es.py:66 in iteration_loop Found something: csr_readdatavalid(GPI_REGISTER) 0.12ns INFO cocotb.csr_readdatavalid test_iteration_es.py:66 in iteration_loop Found something: csr_readdatavalid(GPI_REGISTER) 0.12ns INFO cocotb.csr_waitrequest test_iteration_es.py:66 in iteration_loop Found something: csr_waitrequest(GPI_REGISTER) 0.12ns INFO cocotb.csr_waitrequest test_iteration_es.py:66 in iteration_loop Found something: csr_waitrequest(GPI_REGISTER) 0.12ns INFO cocotb.flush_pipe test_iteration_es.py:66 in iteration_loop Found something: flush_pipe(GPI_REGISTER) 0.12ns INFO cocotb.flush_pipe test_iteration_es.py:66 in iteration_loop Found something: flush_pipe(GPI_REGISTER) 0.12ns INFO cocotb.in_packet test_iteration_es.py:66 in iteration_loop Found something: in_packet(GPI_REGISTER) 0.12ns INFO cocotb.in_packet test_iteration_es.py:66 in iteration_loop Found something: in_packet(GPI_REGISTER) 0.12ns INFO cocotb.packet_count test_iteration_es.py:66 in iteration_loop Found something: packet_count(GPI_REGISTER) 0.12ns INFO cocotb.packet_count test_iteration_es.py:66 in iteration_loop Found something: packet_count(GPI_REGISTER) 0.12ns INFO cocotb.stream_in_ready test_iteration_es.py:66 in iteration_loop Found something: stream_in_ready(GPI_REGISTER) 0.12ns INFO cocotb.stream_in_ready test_iteration_es.py:66 in iteration_loop Found something: stream_in_ready(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_data test_iteration_es.py:66 in iteration_loop Found something: stream_out_data(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_data test_iteration_es.py:66 in iteration_loop Found something: stream_out_data(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_empty test_iteration_es.py:66 in iteration_loop Found something: stream_out_empty(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_empty test_iteration_es.py:66 in iteration_loop Found something: stream_out_empty(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_endofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_out_endofpacket(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_endofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_out_endofpacket(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_startofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_out_startofpacket(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_startofpacket test_iteration_es.py:66 in iteration_loop Found something: stream_out_startofpacket(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_valid test_iteration_es.py:66 in iteration_loop Found something: stream_out_valid(GPI_REGISTER) 0.12ns INFO cocotb.stream_out_valid test_iteration_es.py:66 in iteration_loop Found something: stream_out_valid(GPI_REGISTER) 0.13ns INFO cocotb.DATA_BYTES test_iteration_es.py:66 in iteration_loop Found something: DATA_BYTES(GPI_PARAMETER) 0.13ns INFO cocotb.DATA_BYTES test_iteration_es.py:66 in iteration_loop Found something: DATA_BYTES(GPI_PARAMETER) 0.13ns INFO cocotb.byteswap test_iteration_es.py:66 in iteration_loop Found something: byteswap(GPI_MODULE) 0.13ns INFO cocotb.byteswap test_iteration_es.py:66 in iteration_loop Found something: byteswap(GPI_MODULE) 0.13ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: dual_iteration 0.13ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 2 tests (0 skipped) 0.13ns INFO cocotb.regression regression.py:548 in _log_test_summary *********************************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** *********************************************************************************************** ** test_iteration_es.recursive_discovery PASS 0.10 0.05 2.01 ** ** test_iteration_es.dual_iteration PASS 0.03 0.01 2.12 ** *********************************************************************************************** 0.13ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.13 NS ** ** REAL TIME : 0.07 S ** ** SIM / REAL TIME : 1.92 NS/S ** ************************************************************************************* 0.13ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_verilog' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_verilog' cd test_cases/test_iteration_vhdl && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_vhdl' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_vhdl' Skipping simulation as VHDL is not supported on simulator=icarus make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_vhdl' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_iteration_vhdl' cd test_cases/test_module_var_empty && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_empty' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_empty' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_empty/../../../tests/designs/sample_module/sample_module.sv MODULE=" " TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237325 0.00ns WARNING cocotb.regression regression.py:132 in __init__ No tests were discovered 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 0 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.00 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_empty' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_empty' cd test_cases/test_module_var_messy && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_messy' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_messy' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_messy/../../../tests/designs/sample_module/sample_module.sv MODULE=" , test_nothing ," TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237328 0.00ns WARNING cocotb.regression regression.py:132 in __init__ No tests were discovered 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 0 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.00 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_messy' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_var_messy' cd test_cases/test_module_without_tests && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_without_tests' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_without_tests' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s sample_module -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_without_tests/../../../tests/designs/sample_module/sample_module.sv MODULE=test_nothing TESTCASE= TOPLEVEL=sample_module TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237330 0.00ns WARNING cocotb.regression regression.py:132 in __init__ No tests were discovered 0.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 0 tests (0 skipped) 0.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 0.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 0.00 NS/S ** ************************************************************************************* 0.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_without_tests' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_module_without_tests' cd test_cases/test_multi_dimension_array && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_multi_dimension_array' Skipping test_multi_dimension_array since icarus doesn't support indexing make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_multi_dimension_array' cd test_cases/test_plusargs && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_plusargs' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_plusargs' mkdir -p sim_build /usr/bin/iverilog -o sim_build/sim.vvp -D COCOTB_SIM=1 -s tb_top -f sim_build/cmds.f -g2012 /builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_plusargs/../../../tests/designs/plusargs_module/tb_top.v MODULE=plusargs TESTCASE= TOPLEVEL=tb_top TOPLEVEL_LANG=verilog \ /usr/bin/vvp -M /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb/libs -m libcocotbvpi_icarus sim_build/sim.vvp +foo=bar +test1 +test2 +options=fubar -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:74 in set_program_name_in_venv Did not detect Python virtual environment. Using system-wide Python interpreter -.--ns INFO cocotb.gpi ../gpi/GpiCommon.cpp:105 in gpi_print_registered_impl VPI registered -.--ns INFO cocotb.gpi ..mbed/gpi_embed.cpp:244 in embed_sim_init Python interpreter initialized and cocotb loaded! 0.00ns INFO cocotb __init__.py:202 in _initialise_testbench Running on Icarus Verilog version 10.3 (stable) 0.00ns INFO cocotb __init__.py:208 in _initialise_testbench Running tests with cocotb v1.4.0 from /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/lib/python3.9/site-packages/cocotb 0.00ns INFO cocotb __init__.py:229 in _initialise_testbench Seeding Python random module with 1599237332 0.00ns INFO cocotb.regression regression.py:127 in __init__ Found test plusargs.plusargs_test 0.00ns INFO cocotb.regression regression.py:459 in _start_test Running test 1/1: plusargs_test 0.00ns INFO ..otb.test.plusargs_test.0xb5dbf130 decorators.py:255 in _advance Starting test: "plusargs_test" Description: Demonstrates plusarg access from Python test COCOTB: foo bar COCOTB: test1 True COCOTB: test2 True COCOTB: options fubar 20.00ns INFO cocotb.regression regression.py:361 in _score_test Test Passed: plusargs_test 20.00ns INFO cocotb.regression regression.py:478 in _log_test_summary Passed 1 tests (0 skipped) 20.00ns INFO cocotb.regression regression.py:548 in _log_test_summary ******************************************************************************** ** TEST PASS/FAIL SIM TIME(NS) REAL TIME(S) RATIO(NS/S) ** ******************************************************************************** ** plusargs.plusargs_test PASS 20.00 0.00 23778.42 ** ******************************************************************************** 20.00ns INFO cocotb.regression regression.py:565 in _log_sim_summary ************************************************************************************* ** ERRORS : 0 ** ************************************************************************************* ** SIM TIME : 20.00 NS ** ** REAL TIME : 0.00 S ** ** SIM / REAL TIME : 5275.12 NS/S ** ************************************************************************************* 20.00ns INFO cocotb.regression regression.py:255 in tear_down Shutting down... Exception ignored in: <_io.FileIO name=2 mode='wb' closefd=True> ResourceWarning: unclosed file <_io.TextIOWrapper name=2 mode='w' encoding='ANSI_X3.4-1968'> make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_plusargs' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_plusargs' cd test_cases/test_verilog_access && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_verilog_access' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_verilog_access' Skipping simulation as VHDL is not supported on simulator=icarus make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_verilog_access' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_verilog_access' cd test_cases/test_vhdl_access && make make[3]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_vhdl_access' make results.xml make[4]: Entering directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_vhdl_access' Skipping simulation as VHDL is not supported on simulator=icarus make[4]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_vhdl_access' make[3]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests/test_cases/test_vhdl_access' make[2]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0/tests' make[1]: Leaving directory '/builddir/build/BUILD/cocotb-1.4.0' Ran a total of 1 TestSuites and 161 TestCases + RPM_EC=0 ++ jobs -p + exit 0 Processing files: python3-cocotb-1.4.0-3.fc34.armv7hl Executing(%doc): /bin/sh -e /var/tmp/rpm-tmp.5LOWyn + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.4.0 + DOCDIR=/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/share/doc/python3-cocotb + export LC_ALL=C + LC_ALL=C + export DOCDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/share/doc/python3-cocotb + cp -pr README.md /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/share/doc/python3-cocotb + RPM_EC=0 ++ jobs -p + exit 0 Executing(%license): /bin/sh -e /var/tmp/rpm-tmp.PlgSqn + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.4.0 + LICENSEDIR=/builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/share/licenses/python3-cocotb + export LC_ALL=C + LC_ALL=C + export LICENSEDIR + /usr/bin/mkdir -p /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/share/licenses/python3-cocotb + cp -pr LICENSE /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm/usr/share/licenses/python3-cocotb + RPM_EC=0 ++ jobs -p + exit 0 Provides: cocotb = 1.4.0-3.fc34 libcocotb.so libcocotbutils.so libcocotbvhpi_aldec.so libcocotbvhpi_ius.so libcocotbvpi_aldec.so libcocotbvpi_ghdl.so libcocotbvpi_ius.so libcocotbvpi_modelsim.so libcocotbvpi_vcs.so libcocotbvpi_verilator.so libgpi.so libgpilog.so python-cocotb = 1.4.0-3.fc34 python3-cocotb = 1.4.0-3.fc34 python3-cocotb(armv7hl-32) = 1.4.0-3.fc34 python3.9-cocotb = 1.4.0-3.fc34 python3.9dist(cocotb) = 1.4 python3dist(cocotb) = 1.4 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PartialHardlinkSets) <= 4.0.4-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Requires: /usr/bin/python3 ld-linux-armhf.so.3 ld-linux-armhf.so.3(GLIBC_2.4) libc.so.6 libc.so.6(GLIBC_2.4) libcocotb.so libcocotbutils.so libgcc_s.so.1 libgcc_s.so.1(GCC_3.0) libgcc_s.so.1(GCC_3.3.1) libgcc_s.so.1(GCC_3.5) libgpi.so libgpilog.so libpthread.so.0 libpthread.so.0(GLIBC_2.4) libpython3.9.so.1.0 libstdc++.so.6 libstdc++.so.6(CXXABI_1.3) libstdc++.so.6(CXXABI_1.3.8) libstdc++.so.6(CXXABI_ARM_1.3.3) libstdc++.so.6(GLIBCXX_3.4) libstdc++.so.6(GLIBCXX_3.4.20) python(abi) = 3.9 python3.9dist(setuptools) rtld(GNU_HASH) Obsoletes: python-cocotb < 1.4.0-3.fc34 Recommends: ghdl iverilog Processing files: python-cocotb-debugsource-1.4.0-3.fc34.armv7hl Provides: python-cocotb-debugsource = 1.4.0-3.fc34 python-cocotb-debugsource(armv7hl-32) = 1.4.0-3.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Processing files: python3-cocotb-debuginfo-1.4.0-3.fc34.armv7hl Provides: debuginfo(build-id) = 0c00c5463d986b1b383695b019c4c8f5fe671ff8 debuginfo(build-id) = 1755ca5935ad4bc56589bf6041bc31bd91db5729 debuginfo(build-id) = 1b9cc9d8ebb950da77ec548ac3ee44c238d472ed debuginfo(build-id) = 2ad634fbfec53895ff791d8d62c313fd9066d5ec debuginfo(build-id) = 3837de0e40653b1328e30722f18ae0b102b38665 debuginfo(build-id) = 400d03757931f07d7deddfe5c13012f230306d87 debuginfo(build-id) = 4e2dcbf5d1bef9dcd07e5e739d00c2e793806f63 debuginfo(build-id) = 6e12aef774962629ec816277d98089ab381ad6b7 debuginfo(build-id) = 881d735188750af89f8cf377da51e0b623545d59 debuginfo(build-id) = a99e5cb14fff299289ff27586268c141d1ecac56 debuginfo(build-id) = dd956bc528ab58fdd030b52477834b32f147bfa7 debuginfo(build-id) = de0023ebbb66ddeb916c31109ca1abe6ff323c82 debuginfo(build-id) = e6416cc40da74adbd760df02bcc2d743c3fda342 debuginfo(build-id) = f8927749dc604a47337b1bbd6318296f4a63f094 python-cocotb-debuginfo = 1.4.0-3.fc34 python3-cocotb-debuginfo = 1.4.0-3.fc34 python3-cocotb-debuginfo(armv7hl-32) = 1.4.0-3.fc34 python3.9-cocotb-debuginfo = 1.4.0-3.fc34 Requires(rpmlib): rpmlib(CompressedFileNames) <= 3.0.4-1 rpmlib(FileDigests) <= 4.6.0-1 rpmlib(PayloadFilesHavePrefix) <= 4.0-1 Recommends: python-cocotb-debugsource(armv7hl-32) = 1.4.0-3.fc34 Checking for unpackaged file(s): /usr/lib/rpm/check-files /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm Wrote: /builddir/build/RPMS/python-cocotb-debugsource-1.4.0-3.fc34.armv7hl.rpm Wrote: /builddir/build/RPMS/python3-cocotb-1.4.0-3.fc34.armv7hl.rpm Wrote: /builddir/build/RPMS/python3-cocotb-debuginfo-1.4.0-3.fc34.armv7hl.rpm Executing(%clean): /bin/sh -e /var/tmp/rpm-tmp.LyPFjo + umask 022 + cd /builddir/build/BUILD + cd cocotb-1.4.0 + /usr/bin/rm -rf /builddir/build/BUILDROOT/python-cocotb-1.4.0-3.fc34.arm + RPM_EC=0 ++ jobs -p + exit 0 Child return code was: 0